Monday, April 16, 2012

HOYA demos eBeam Initiative roadmap milestone on simultaneously improving quality and decreasing write times of advanced semiconductor photomasks

SAN JOSE, USA: The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, announced that several of its members will present the latest breakthroughs using eBeam technologies to improve photomask critical dimension uniformity (CDU) and wafer yields this week during Photomask Japan (PMJ) 2012, the 19th international symposium on photomasks and next-generation lithography masks being held at the Pacifico Yokohama in Yokohama, Japan.

Among these, the eBeam Initiative will highlight results from HOYA Corporation on improving mask quality while decreasing shot count through the use of model-based mask data preparation (MB-MDP) applied on a production mask writer. In addition, GLOBALFOUNDRIES will present mask-wafer double-simulation results demonstrating the impact of MB-MDP on wafer simulation.

Traditionally, process variation (PV) band, depth of focus (DOF) and mask error enhancement factor (MEEF) have been important criteria in determining the quality of the shapes projected on the semiconductor wafers by a particular set of patterns on the mask. Improving these criteria improves wafer yield. GLOBALFOUNDRIES will highlight how this improvement can be realized by enabling inverse lithography (ILT) through MB-MDP.

Additionally, shape-dependent-mask CDU has emerged as a key variable impacting wafer yield. HOYA will demonstrate the impact of new eBeam technologies—such as MB-MDP and overlapping variable-shaped beam (VSB) shots—on shot count, mask fidelity and robustness to manufacturing variation.

According to Aki Fujimura, CEO of D2S, Inc., managing company sponsor of the eBeam Initiative: "At and below the 20-nm logic node, mask assist features as well as some parts of the main mask features are smaller than 80 nm in width, which makes mask accuracy increasingly difficult to maintain—in turn negatively affecting wafer yield. The increasing rate of innovation in semiconductor manufacturing is accelerating the importance and value of eBeam technologies in the semiconductor supply chain to address the challenges associated with mask accuracy, shot count and production costs.

"We applaud the efforts of eBeam Initiative members, including HOYA and GLOBALFOUNDRIES, who have played a vital role in guiding the ecosystem to support the introduction of much-needed advances in eBeam technologies."

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.