Tuesday, November 30, 2010

Rockchip, SMIC in commercial production of 65-nm multimedia chips

SHANGHAI, USA: Fuzhou Rockchip Electronics Co. Ltd, a leading Fabless Semiconductor company and mobile-internet SOC solution provider, announced that its design of a high-end digital-analog mixed SOC chip has successfully entered into commercial production at Semiconductor Manufacturing International Corp, (SMIC) using SMIC's 65-nm Low-Power process.

The Rockchip RK Cayman series solution platform, based on ARM9 and DSP dual-core processors, adopts a number of SMIC's self-developed 65-nm IPs and is a highly-integrated, programmable, and high-performance digital-analog mixed SOC chip.

The chip supports 24bit/1K ECC (error checking and correcting), NAND, eMMC, and SPI (Single Program Initiation). With the built-in EPD (Electrophoretic Displays) driver, the chip can support a variety of EPD displays and various formats of 720P video playback.

The chip also adopts Synopsys' USB PHY, PHY I/O and USB 2.0 IP and features an OTG (On-The-Go) function that makes data exchange with other mobile devices quick and easy. The RK Cayman series is targeted for use in MP3, MP4, e-book reader, and other digital consumer product markets.

"Rockchip, with its strong R&D focus, continues to dedicate its in-house development to innovated products and solutions. The RK Cayman chip has a strong computing and video playback capability. The chip also supports CMMB, ISDB, mobile TV and other multimedia features. The camera interfaces also enable video and photo capabilities," said Feng Cheng, VP of Rockchip.

"SMIC's 65-nm platform provides customers solutions with more reliability, stability, and lower costs, and thus significantly enhances our market competitiveness. The success of the RK Cayman chip marks a meaningful milestone for both parties."

Chris Chi, senior VP and chief business officer of SMIC, said: "The successful collaboration with Rockchip, the leading semiconductor and SOC chip provider in China, further consolidates SMIC's leadership position in the relevant 65-nm multimedia market. SMIC will continue with the R&D of our 65-nm platform to better serve China's rapidly growing consumer electronics market."

Scintera expands power amplifier linearization SoC platform into digital terrestrial broadcast

SUNNYVALE, USA: Scintera Networks Inc., a leading provider of semiconductors for wireless communications, today announced the general availability of its SC1887-03 Adaptive RF Power Amplifier Linearizer (RFPAL) system-on-chip (SoC) for the digital terrestrial UHF broadcast TV market.

Adaptive linearization, commonly used to increase the efficiency and output of high power broadcast amplifiers, has previously seen only limited use in lower power transmitters and exciters due to prohibitive complexity, cost, power consumption and footprint size.

The SC1887-03 operates directly at the carrier frequency and enables dramatic savings in cost, power consumption and size, while achieving outstanding performance in reducing out-of-band non-linearities. The device architecture is based on Scintera's production proven linearization solution, first sampled in August 2009, targeting wireless infrastructure applications.

"Broadcast power amplifier designers face a tough choice between inefficient backoff schemes and complex/expensive digital pre-distortion techniques. Scintera eliminates this dilemma by bringing a highly integrated and cost-effective solution proven in wireless infrastructure to digital broadcast television applications," said Kris Rausch, vice president, Sales and Marketing at Scintera.

"This not only enables us to enter new markets but also demonstrates the flexibility of Scintera's core programmable analog signal processor technology."

Today's complex modulation schemes used in digital TV require the power amplifier (PA) to operate linearly. Prior to the SC1887-03, engineers designing broadcast transmitters were typically forced to operate the PA in backoff mode to achieve their linearity requirements. Backoff operation is highly inefficient and necessitates the use of oversized and costly RF components.

The SC1887-03 breaks the complexity, power, and cost barriers by offering an easy-to-use, low-power, single-package solution that is inserted into transmitter designs directly in line with the PA. The RFin/RFout solution offers standalone operation and requires neither complex software development nor access to baseband signals. As a result, engineers are able to quickly bring to market linearized PA solutions in any configuration required, while minimizing development and manufacturing costs.

The SC1887-03 is packaged in a standard 64-pin, 9 x 9 mm QFN package enabling the implementation of the entire pre-distortion and linearization solution in less than 9 cm2 of board space. The compact solution is easily integrated directly into the most restricted form factors including PA modules.

Though applicable to all types of amplifiers and output power levels, the very low power consumption of the SC1887-03 -- less than 900 mW -- makes it the ideal solution for low power exciters, drivers and transmitters such as those found in DVB (T/H/T2), CMMB, DTMB, ISDB-T and ATSC systems.

The SC1887-03 SoC (in volume production) and the SC1887-EVK500 evaluation kit are available now and can be purchased directly from Richardson Electronics Ltd. -- online at www.rell.com. Pricing starts in volume at $95 for 100 pieces for the SC1887-03 SoC and $1,100 for the SC1887-EVK500 evaluation kit.

Toshiba deploys Magma’s FineSim for advanced flash memory sign-off simulation

SAN JOSE, USA & YOKOHAMA, JAPAN: Magma Design Automation Inc. announced that Toshiba Corp., a leading provider of flash memory products, has deployed the FineSim platform for sign-off simulation. Toshiba uses FineSim Pro with the native multi-CPU technology for its NAND flash memory development.

“Toshiba’s flash products, featuring leading-edge technology and functionality, are highly differentiated and used in wide variety of applications,” said Masaki Momodomi, Memory Technology Executive of Toshiba Corp. Semiconductor Co. “This makes it imperative that we are first to market with highly reliable products. Magma’s unified circuit simulation platform increases our overall design productivity by allowing us to make intelligent tradeoffs between performance and accuracy within a single tool.”

“Toshiba’s decision to use FineSim as its primary circuit simulation solution demonstrates the value of Magma’s unified multi-CPU simulation platform,” said Anirudh Devgan, general manager of Magma’s Custom Design Business Unit. “With the performance improvement provided by FineSim leading semiconductor providers such as Toshiba can reduce design and manufacturing costs while meeting critical time-to-market goals.”

FineSim SPICE: Simulating advanced circuits
FineSim SPICE is a SPICE-level simulation analysis tool that incorporates transistor-level simulation analysis capabilities for mixed-digital and analog designs. In addition, FineSim SPICE supports distributed processing over multiple CPUs or machines, enabling simulation of large-scale mixed-signal designs.

By providing increased speed and capacity while maintaining full-SPICE accuracy, FineSim SPICE enables designers to simulate advanced circuits – such as PLLs, ADCs (analog-to-digital converters), DACs (digital-to-analog converters) and gigahertz SERDES (SERializers/DESerializers) – that they previously would not even attempt using slower traditional SPICE simulators.

FineSim Pro: First to offer multi-CPU support
FineSim Pro is the industry's first fast SPICE circuit simulator that supports multi-CPU simulations. It is the only simulator that performs true multi-CPU SPICE analysis.

This allows for the most accurate results with the best possible throughput. FineSim Pro verifies a range of designs from large memories to large complex analog ICs, which previously could not be practically verified in transient circuit simulators. FineSim Pro also has a separate option for IR drop and electromigration analysis.

Tegal expands ProNova ICP silicon DRIE reactor family with introduction of ProNova2

SEMICON Japan 2010, CHIBA, JAPAN & PETALUMA, USA: Tegal Corp., an innovator of specialized production solutions for the fabrication of advanced MEMS, power ICs and 3D ICs, will launch the newest member of its popular ProNova family of high-density inductively coupled plasma (ICP) reactors for the company’s DRIE series wafer processing products.

The ProNova2 is targeted for fast-growing 200-mm MEMS and 3D IC applications. It was built to out-perform the etch rates of comparative tools and deliver industry-leading DRIE productivity and yield benefits. In addition to demonstrating sustained high etch rates, the new reactor offers a three-fold improvement in ion uniformity.

For some applications, the higher uniformity enables a 40-plus percent improvement in etch selectivity. The ProNova2 also allows users to adjust selected etch parameters across the ICP reactor plasma and diffusion zones. This allows for better control of etch process performance across the wafer which boosts the silicon DRIE etch flexibility needed for some advanced applications.

The first ProNova2 tool has been installed in a Japanese development laboratory where it is meeting the performance expectations set by Tegal’s France-based R&D team.

Porting established MEMS processes onto 200-mm tools and then improving on the baseline process results has been a key challenge for 200-mm MEMS fabrication. For silicon DRIE, these challenges include achieving higher etch rates, along with tighter control of tilt angles and etch profiles, and better etch depth uniformity across 200-mm wafers.

The ProNova reactor family was developed to address all key market requirements identified by the 200-mm MEMS community which include Tegal’s 3D IC Through Silicon Via (TSV) commercial partners. With an improved ICP reactor geometry and plasma source design, the ProNova products achieve superior etch depth uniformity and etch profiles, as well as better etch tilt angles across 200-mm wafers when compared to traditional ICP sources.

“At Tegal, we remain focused on driving continuous product and technology improvement so that our customers have the best tools to address the evolving process requirements of advanced silicon DRIE applications like MEMS and 3D ICs,” said Nicolas Launay, R&D Director at Tegal France.

“The ProNova2 builds on the process improvements featured in our first ProNova reactor and offers customers further gains in productivity and yields. It is one of the most advanced silicon DRIE reactors on the market today.”

The ProNova2 is immediately available to ship on Tegal 110, 200, 3200 and 4200 DRIE wafer processing systems. It is also compatible as a retrofit with Tegal and AMMS DRIE systems already in the field. As with the first member of the ProNova family, the product supports Tegal’s Super High Aspect Ratio Process (SHARP), which achieves etched feature aspect ratios of greater than 100:1 in production environments.

TriQuint GaN leadership leads to $17.5M Title III manufacturing development contract

HILLSBORO & RICHARDSON, USA: TriQuint Semiconductor has been awarded a Defense Production Act Title III gallium nitride (GaN) manufacturing development contract by the US Air Force Research Laboratory (AFRL).

The overall goal of the contract is to increase yield, lower costs and improve time-to-market cycles for defense and commercial GaN integrated circuits. The contract was awarded based on TriQuint’s success and experience developing new gallium nitride technologies and products.

TriQuint’s new GaN contract is divided into three phases with goals and assessment criteria at each milestone. The primary first phase goal is to make a baseline assessment of manufacturing readiness, according to TriQuint Vice President Tom Cordner.

In the second program phase, TriQuint will work to improve and refine the production process to reach a manufacturing readiness level (MRL) of 8 in developing its advanced MMICs. In the final phase, which is expected to conclude in 2013, the program will demonstrate MMIC fabrication that meets full performance, cost and capacity goals. TriQuint is the prime contractor and all the work is to be performed at its Richardson, Texas facility.

TriQuint has been a leader in GaN research and product development for both defense and civilian applications since 1999. In addition to its military design and manufacturing work, TriQuint has released new GaN amplifiers for wireless communications and a wide range of other applications over the last three years. TriQuint was the first to offer high frequency, high power commercial GaN foundry services (0.25μm GaN on SiC) in 2008.

“TriQuint is very excited to participate in this program to accelerate gallium nitride manufacturing technology. This program will take the technology from the early stages of production to a mature manufacturing process enabling next-generation systems,” Cordner remarked. “We have successfully transferred process technologies into manufacturing at TriQuint for more than 25 years and we look forward to these new challenges and opportunities.”

Gallium nitride is a key process technology that is leading advanced semiconductor amplifier design thanks to inherent advantages including high voltage operation, greater power density (more power per square millimeter) and efficiency. The on-going development of GaN-based devices is leading to new smaller, more efficient amplifiers that reduce system size, weight and power consumption.

TriQuint’s expertise in gallium nitride (GaN), gallium arsenide (GaAs) / high-voltage GaAs pHEMT, surface acoustic and bulk acoustic wave (SAW / BAW), low-cost packaged devices and monolithic microwave integrated circuits (MMICs) has made it a leading supplier of RF system components to Boeing Company, Lockheed Martin Corp., Northrop Grumman, Raytheon and other major defense contractors.

TriQuint supplies RF innovation for consumer retail products including mobile devices, wireless LAN, triple-play CATV systems, optical network and wireless infrastructure applications.

INSIDE Contactless passes 250 million unit milestone for MicroPass shipments

AIX-EN-PROVENCE, FRANCE: As INSIDE Contactless continues to build on its success in the contactless payment processor market, the company announced that shipments of its award-winning MicroPass intelligent payment platform have surpassed 250 million units worldwide.

Regarded by most in the industry as the gold standard for contactless payments, the flexible MicroPass platform continues to evolve since its introduction at CARTES 2005 in Paris as it adapts to new applications, form factors and markets.

“INSIDE believes the next phase in the growth for the US contactless payment market will be based on dual-interface cards, and with both our MicroPass core platform and our new family of AT90SC secure microcontrollers we are well positioned to benefit from this trend,” said Charles Walton, COO for INSIDE Contactless.

“MicroPass has experienced tremendous growth since inception, achieving a dominant position in the US and Canadian markets, supporting all major card brands and catalyzing the adoption of contactless payments in these markets.”

INSIDE’s proven MicroPass 4003 contactless payment processor is at the heart of the majority of contactless bank cards issued in the US and Canada, powering more than 30 issuer programs.

In 2010, MicroPass achieved a number of significant qualifications and “firsts,” including the first qualified implementation of STAR CertiFlash by the STAR Network, the first implementation of Interac Flash and the qualification of multiple Visa, MasterCard and Discover Network card and mobile sticker products.

INSIDE has partnered with all major card manufacturers operating in North America to bring to market more than 60 card, sticker and fob products powered by MicroPass.

Contactless payment cards based upon EMV dual-interface technology are the likely next step in the evolution of payments in North America. Already being adopted broadly within the Canadian market, these dual-interface cards offer the security of EMV, the speed and convenience of contactless interaction and the flexibility of acceptance in multiple geographies and venues, such as transit, parking, vending and other new kinds of unattended acceptance locations.

As the adoption of contactless acceptance continues to grow in the US market in 2011 for both cards and NFC-enabled mobile devices, and as security concerns with magnetic-stripe cards grow, EMV dual-interface cards can become a powerful tool for major bank card issuers to ensure that their portfolios are future proof, internationally accepted and cutting edge for their customers.

The MicroPass intelligent payment platform is designed to power open standard contactless and dual-interface bank card payments along with other value-added applications in all global regions.

Based upon a RISC architecture and optimized to the demanding requirements of contactless transactions, each of the MicroPass family of products is designed to meet the demands of issuer organizations in the bank card and mobile payments, transit, ID and access control markets.

Freescale implements Enviance’s environmental ERP solution to reduce environmental risk

CARLSBAD, USA: Enviance Inc., a global provider of Environmental ERP solutions that measure, manage, report and reduce environmental and regulatory risks, announced that Freescale Semiconductor has selected Enviance as its proprietary solution to measure and report compliance data on all compliance areas including water, air and emissions.

Freescale replaced its existing vendor with the Enviance cloud-based platform in order to manage the emissions requirements of multiple facilities in one centralized system.

According to Gartner, “By 2012, 20 percent of all businesses will own no IT assets*.” Cloud computing enables companies of any size – from multinational enterprises to small start-ups – to benefit from world-class software without requiring the in-house resources required by installed software systems.

“Freescale is an organization that prides itself on technical excellence, and we wanted an emissions management solution that matched our internal expectations for performance and ease-of-use,” said Jean-Marc Henry, director of Global Site Services at Freescale.

“Enviance’s cloud-based management system provides us with a fast deployable solution that centralizes our compliance requirements while reducing operational costs with easy configurability and end-user system management.”

Enviance stood out among competitors for its understanding of regulatory pressures along with the company’s deep set of industry leading reference customers. The Enviance system will allow Freescale fast deployment, easy configurability, end-user system management and cloud-based performance. The system will help Freescale cut costs by requiring fewer hours to operate and provide a reduction in overall facility emissions.

“Freescale’s reputation for excellence stretches across multiple industries as a global leader in the production of embedded semiconductors,” said Lawrence Goldenhersh, president and CEO of Enviance.

“Freescale’s decision to replace client server technology with Enviance’s cloud-based Environmental ERP is important as it reflects the market consensus regarding the pre-eminence of cloud-based systems for the management of environmental and regulatory risk, and provides continuing evidence of the market’s demand for environmental software that empowers companies to move from environmental reporting and record-keeping, to the management of the financial risk posed by these environmental factors.”

RTI International validates Alchimer’s electrografting technology for 3D TSV apps

MASSY, FRANCE: Alchimer S.A., a provider of nanometric deposition technology for through-silicon vias (TSVs), semiconductor interconnects and other electronic applications, said today its Electrografting (eGTM) technology has been validated by scientists at RTI International (RTI).

RTI, the latest third-party organization to validate eG, presented its research findings at the IEEE 3D System Integration Conference (3DIC) in Munich, Germany, in November. The paper confirmed that electrografting is a proven technology for depositing “insulator, barrier and seedlayer into high aspect ratio TSVs for 3D integration applications.”

Electrografting is Alchimer’s breakthrough electrochemical process that enables the growth of extremely high-quality polymer and metal thin films. The company’s deposition technology reduces overall cost of ownership for high-aspect-ratio TSV metallization by up to two-thirds compared to conventional dry processes, and shortens time to market.

The RTI study analyzed a variety of film properties, including leakage current, breakdown voltage, flat-band capacitance and voltage.

“The eG films in particular had effective interface trap densities in the range of 1011 per cm2, which is an excellent result that is comparable to device-grade SiO2 and high-k gate dielectrics,” the study said.

Scientists in the Center for Materials and Electronic Technologies at RTI integrated electrografted layers in RTI test vehicles and exposed them to autoclave (AC) and high-temperature storage (HTS) reliability testing. The autoclave test was conducted during 96 hours under 121°C, 100 percent relative humidity and 2 bar absolute pressure. High-temperature storage was performed during 20 hours at 205°C.

“Both tests showed strong results with no significant difference in film performance before and after the tests,” said Claudio Truzzi, Alchimer’s chief technology officer. “Alchimer’s films have been vetted by multiple third parties and have been validated as conforming with several industry-standard, package-level reliability tests.”

X-FAB first pure-play foundry to provide ready-to-use Hall sensor

ERFURT, GERMANY: X-FAB Silicon Foundries, the leading analog/mixed-signal foundry and expert in “More than Moore” technologies, has become the first pure-play foundry to deliver integrated Hall effect functionality as a fully characterized, documented and ready-to-use Hall sensor device.

Implemented in X-FAB’s 0.18 micrometer high-voltage process technology (XH018), the new low-power, highly sensitive magnetic field sensor device is a perfect fit for battery-powered applications. It allows engineers to change the system architecture of the entire sensing application into a more cost-effective single-chip solution that requires no further layout work, additional chip testing, measurements or optimization.

X-FAB also is delivering a design kit add-on that provides everything designers need to successfully integrate this device with the rest of the circuitry on the chip. X-FAB will thoroughly discuss its Hall sensor offering in a free webinar, “Foundry Solution for Hall Sensor Applications – Integrated Magnetic Field Sensing Made Easy with Ready-to-Use Hall Device,” offered worldwide on December 8 and 9.

The new Hall sensor is suitable for contactless detection or measurement of magnetic fields, and for applications in which a magnetic field is used to indirectly measure distance, position, rotational angle, speed or an electric current.

For example, it can be used as a proximity sensor for handhelds, an automotive vehicle speed sensor, a replacement for mechanical switches, a brushless control for DC motors, a fluid level detector or a meter for smart grid applications.

The new sensor operates with a very small supply current and is fully characterized including offset, sensitivity and temperature dependency. Offered as an IP block, the ready-to-use Hall sensor primitive device is available now for customer tape-ins.

The design kit add-on includes a VerilogA model to enable simulations for X-FAB’s modular XH018 process. This process meets the rigorous automotive qualification standards and enables SoC design engineers to integrate Hall effect functionality along with offset compensation and precise signal conditioning, high-density logic, microprocessor cores, non-volatile memory, high-voltage analog devices and power drivers. Using the ready-to-use Hall sensor device leads to significant time and cost savings in the design process.

X-FAB’s new Hall sensor is available now. Silicon samples for evaluation purposes are available on request. The design kit can be downloaded from X-FAB’s online technical information center, X-TIC.

PC's Semicon Blog wins Top Digital Media award! ;)

Friends, it gives me tremendous pleasure to inform all of you that this blog -- PC's Semicon Blog -- was awarded the honor of a Top Digital Media Blog by Online IT Degree, based in the US.

Early this morning, I received an email from Ms Allison Weems@Online IT Degree, who indicated that PCs Semiconductor Blog, is an essential part of their resources!

That's great to hear! It is always good to know that one's work is being appreciated.

Online IT Degree said: "As a website dedicated to help those consider a career in IT, we only provide the best information available. Whether it's a resource that explores patent law, or provides information regarding digital media, we provide them for those seeking to obtain this information. This is why we've featured your blog, as it is one of the best to teach our readers." It added, "Congratulations, and keep up the awesome work!"

All I can say is: Thanks a lot! The intention has always been to cover the latest that's been happening in the global semiconductor industry. I'm a 'student of the game', and hope that I'm on the right track.

I must thank all of the contributors who've made this blog well known -- especially, iSuppli, Future Horizons, The Information Network, SEMI USA, DRAMeXchange, Yole Développement, IC Insights, SIA USA, India Semiconductor Association (ISA), EDA Consortium, Mike Cowan, Forward Concepts, Semico Research, Databeans, Converge Market Insights, Accenture, Frost & Sullivan, Gartner, IDC, and so on, as well as the numerous semiconductor companies across the world!

Dr. Robert Castellano of The Information Network sent me this message -- "Great news. In a way it honors we contributors as well, so thank you for including our data in your blogs." Yes, indeed! Sums up it all up very well. Thank you sir!

I am ever grateful to all of those in the global and Indian semicon industry who have thought it worth the while to share information with a small time blogger and journalist like yours truly! :)

I am inundated with messages from friends and well wishers from all over the globe! I am really humbled and touched -- at the sheer volume of the messages, and the good wishes and support of one and all! Thanks a lot to everyone, once again!

I don't know whether I will be ever able to thank everyone individually. It is your support and backing that is very important, and keeps this blog going.

It's great to be in the Indian and global semiconductor industry. Hope to carry on my work for as long as possible! :)

PS: By the way, I also received this message from a friend, in Singapore: "By the way - without taking the brand name - I received a wishlist from a prospective client from the telecom space in the US for building rapport with the top bloggers - and PC's Telecom Blog was on the top 10 global list of key influential blogs."

Thanks for sharing this very kind message. Telecom is also my favorite! :)

Rudolph collaborates with Asia OSAT on development and characterization of stacked packaging processes

FLANDERS, USA: Rudolph Technologies Inc., a leading provider of process characterization equipment and software for wafer fabs and advanced packaging facilities announced that it is partnering with a major semiconductor assembly and test services manufacturer to provide its inspection and metrology capability in the development of stacked packaging processes.

The process uses silicon interposer technology, sometimes referred to as 2.5D IC, as an intermediate step toward full blown 3D ICs.

"We are pleased to be partnering with one of the industry leaders in the development of stacked packaging 3D IC technology," said Rajiv Roy, Rudolph’s vice president of business development and director of back-end marketing. "The NSX System will measure via depth, inspect for defects and provide 3D metrology of solder bumps. Rudolph is collaborating with several leading-edge companies in the assembly and test sector, and we believe this effort will help to ensure the continued successful development of our NSX Systems for 3D IC applications."

Roy adds, "We were selected for this development project because we were able to meet the customer’s current specifications, and they are willing to work with us to make adjustments to the NSX System as we move forward with this project."

Silicon interposer technology allows manufacturers to stack multiple chips to improve performance and increase the computing power in a small volume. It is particularly attractive to makers of cell phones and other handheld devices who must combine various chips with different functionality in a small space.

The silicon interposer provides high density, short path signal routing between the stacked chips without requiring drastic changes in the design and manufacture of the chips themselves.

“This is an important step toward full-blown 3D ICs,” Roy continued. “There are still a number of hurdles, however, such as lack of standards and high manufacturing costs for through-silicon-via based 3D ICs in high volume.” Silicon interposer technology allows manufacturers to roll out production-worthy devices without the through-silicon-via standards in place, and without the need to modify existing devices already in production.

Rudolph’s NSX Series Macro Defect Inspection Systems help to reduce the manufacturing costs and time-to-market of integrated circuits. Their high throughput and high repeatability are well established in high-volume applications throughout the device manufacturing process.

The NSX System, equipped with Discover Defect Analysis and Data Management software, quickly and accurately detects yield-inhibiting defects, providing quality assurance and valuable process information.

IDT wide dynamic range power metering IC selected by Gude GmbH for expert power meter

SAN JOSE, USA: Integrated Device Technology Inc. (IDT) announced that the IDT single-phase energy metering IC has been selected by Gude Analog- und Digitalsysteme GmbH, a leading manufacturer of Power Distribution Units through TCP/IP networks, for use in its Expert Power Meter. Gude selected the IDT energy metering IC because of its high accuracy and wide dynamic range; the widest in the metering industry.

The IDT90E21 is a high-performance energy metering device for single-phase two-wire, single-phase three-wire or electricity meters with anti-tampering mode. It boasts the industry’s widest dynamic range of 5000:1, which facilitates measurement over a large current range of 20mA to 100A. The device measures RMS voltage and current, phase angle, voltage frequency, power factor, and mean active, reactive and apparent power.

“Gude is a leading maker of high quality power distribution units,” said Dr. Michael Gude, CEO and Founder of Gude Analog- und Digitalsysteme GmbH. “We have very stringent standards on features and performance for our Expert Power Meter products. IDT’s power metering solution offers great accuracy over a large range, which is important for our product differentiation and competitive advantage.”

“Incorporating IDT's proprietary analog-to-digital converter (ADC) and DSP technologies, we have entered a new market with this innovative power metering product, which has gained customer traction in China, Europe, and beyond. We will continue to deliver complete smart metering solutions that are vital to improving energy efficiency for electricity distribution and consumption worldwide,” said Sean Fan, VP and GM of IDT.

The IDT90E21 is fully compliant with IEC62052-11, IEC62053-21 and IEC62053-23 specifications for class 1 or class 2 single-phase watt-hour meters or class 2 single-phase var-hour meters. The device is offered in a lead-free 28-lead SSOP package, and is designed for long-term stability over variations in grid and ambient environmental conditions.

ST unveils roadmap for ARM Cortex-M4 and -M0 MCUs, while unleashing ultimate performance of Cortex-M3 with new STM32 F-2 series

GENEVA, SWITZERLAND: STMicroelectronics has announced that the roadmap for its breakthrough STM32 family of 32-bit MCUs based on ARM Cortex-M processor cores is to include new devices centered on the Cortex-M4 and the Cortex-M0 cores from ARM.

In addition, ST also announced the availability of its new STM32 F-2 series MCUs that fully unleash the performance of the Cortex-M3 core.

New Cortex-M MCUs
The Cortex-M4 from ARM is an upwardly compatible version of the Cortex-M3, offering DSP instructions and a Floating Point Unit (FPU). The core will be used in new high-performance variants of STM32 products to be sampled in 2011.

The STM32 portfolio will be further enriched for highly cost-sensitive applications with a new series, also sampling in 2011, currently being developed around the Cortex-M0.

"It is our intention to remain the undisputed leader in ARM Cortex-M MCUs and offer the broadest portfolio of ARM Cortex-M microcontrollers in the industry," said Michel Buffa, GM of ST's Microcontroller Division.

"The Cortex-M4 and Cortex-M0, in combination with our leading-edge embedded Flash and ultra-low-power technologies, will join the Cortex-M3 core MCUs to allow ST to significantly expand its STM32 offering to meet every application need in performance, features and pricing."

All future STM32 Cortex-M4 and -M0 based MCUs will remain fully pin- and software compatible with each other and the STM32 Cortex-M3 devices. In addition, they will benefit from the same tool and software ecosystem, allowing seamless moves within the full STM32 product range.

New STM32 F-2 Series
ST's new leading-edge STM32 F-2 microcontroller series combines ST's advanced 90nm process technology with the Company's innovative Adaptive Real-Time memory accelerator (ART Accelerator) to unleash the Cortex-M3 core's maximum processing performance.

The STM32 F-2 MCUs achieve leading-edge performance of 150 Dhrystone MIPS when executing code from Flash at 120MHz, which is the maximum performance possible from the Cortex-M3 processor at this frequency.

The series also offers CoreMark-confirmed 188uA/MHz dynamic power consumption, when executing from Flash, equivalent to drawing 22.5mA at 120MHz. In addition to offering on-chip memory densities that are among the highest of any Cortex-M3 based MCUs available, the new series provides enhanced support for imaging, connectivity, security, audio and control applications.

For many applications, the STM32 F-2 MCUs offer the perfect balance between performance, dynamic power consumption and cost, which has been confirmed with the news that more than 20 of ST's customers are currently ramping up manufacturing of new products enabled by this new STM32 family.

"This series is a major addition to the STM32 platform and reinforces ST's leadership in the market for Cortex-M3 MCUs," added Buffa. "ST's proprietary 90nm process and the development of the ART Accelerator enable perfectly optimized processor-memory interactions with a performance equivalent to zero wait states, taking the performance of the Cortex-M3 core to its natural limits."

The addition of more than 30 new devices in the F-2 series brings the total number of STM32 microcontrollers to 180 pin- and software-compatible devices sharing a common pool of peripherals, and delivering the advanced performance, high efficiency and convenience of the industry-standard Cortex-M3 processor.

Fresco Logic to ship 1 million USB 3.0 host controllers by EOY 2010

BEAVERTON, USA & TAIPEI, TAIWAN: Fresco Logic, a global fabless semiconductor company that develops and markets USB 3.0 connectivity solutions, announced that it will ship 1 million USB 3.0 host controllers by year-end 2010.

Fresco Logic’s USB 3.0 host controller, based on its GoXtream technology, was recently certified by the USB Implementers Forum (USB-IF). The company has shipped its certified USB 3.0 host controllers to tier-one OEMs that have incorporated the technology into notebooks, motherboards and add-in cards. Consumers can purchase SuperSpeed USB products with Fresco Logic technology in retail stores around the world today.

The USB-IF certification process mandates compliance to the USB 3.0 specification. Fresco Logic’s USB 3.0 host controller is only the second host controller in the industry to achieve certification, having passed the USB-IF’s rigorous product quality and compatibility testing, ensuring that it will interoperate with the billions of USB products in the market.

The company was also recently named to EE Times’ “Silicon 60” list of emerging startups for its SuperSpeed USB solutions.

“Consumers are demanding the ability to transfer their content at accelerated speeds; and the ramp-up for SuperSpeed USB has been one of the fastest technology adoptions I have ever experienced in the industry,” said Jeff Ravencraft, president, USB-IF.

“With the addition of a second certified USB 3.0 host controller to the market, we expect to see even broader market acceptance for SuperSpeed USB technology. We are pleased with Fresco Logic’s contributions to SuperSpeed USB technology, and for delivering a USB 3.0 host controller to the industry that meets our certification requirements.”

“The availability of two certified USB 3.0 host controllers in the market signals that the SuperSpeed USB market is maturing at a healthy pace,” said Brian O’Rourke, principal analyst, In-Stat.

“Fresco Logic has been a consistent player on the SuperSpeed USB scene, and as an early adopter of the specification, is well suited to help grow rapidly the ecosystem of SuperSpeed USB products.”

Applied Materials announces agreement with Samsung Electronics

SANTA CLARA, USA: Applied Materials Inc. announced that it has entered into a settlement agreement with Samsung Electronics Co. Ltd.

The Agreement resolves potential civil claims and removes the risk of civil litigation between the parties relating to the alleged acquisition, misappropriation and misuse of Samsung confidential semiconductor information in Korea. It also establishes a broad framework of incentives to Samsung for the future relationship between the two companies.

"We are pleased that we have reached this agreement with one of our largest and most important customers," said Mike Splinter, chairman and CEO. "I am confident that this agreement will extend the mutually-beneficial and long-term relationship between our two companies."

Under the Agreement, which is generally effective for a three-year period starting November 1, 2010, Applied will provide volume-based rebates on purchases of semiconductor products by Samsung and its affiliated companies.

Applied also will provide volume-based incentives related to Samsung's use of Applied systems (i) for production of semiconductor devices in applications for which Samsung has not previously used Applied systems, and (ii) for joint development activities.

In addition, the agreement includes volume-based credits for certain upgrades, engineering services and spare parts.

The financial impact of the above rebates and incentives on Applied's consolidated results of operations and financial position will depend on the volume of purchases by Samsung after the effective date of the Agreement. In fiscal 2009, Samsung accounted for approximately 10% of Applied's consolidated net sales.

Applied currently expects that operating margins for its Silicon Systems Group will be consistent with its previously-released segment financial model, with downside risk of no more than one percentage point below the low end of the range.

Micrium RTOS supports Cypress PSoC 5 ARM Cortex-M3 development platform

SAN JOSE, USA: Cypress Semiconductor Corp. and Micrium Inc. announced that Micrium's uC/OS-III RTOS (Real-Time Operating System) supports Cypress’s PSoC 5 development platform.

The unique programmable analog and digital peripherals in PSoC 5, along with the high performance 32-bit ARM Cortex-M3 processor, position PSoC 5 for demanding applications such as industrial, medical, automotive, and consumer equipment. PSoC 5 devices offer industry-leading integrated analog resources and powerful, PLD-based Universal Digital Blocks for implementing standard and custom digital peripherals.

Micrium's middleware, long appreciated for its rapid-time-to market focus, is ideal for the ARM Cortex-M3 based 32-bit applications targeted by the PSoC 5 Cortex-M3 platform.

All three technologies complement each other, providing the embedded engineer with a cadre of development tools, M3 debug capabilities, fast-time-to prototype, ease of use, and more. By adding analog components in a programmable fabric, the result is reduced complexity and the ability to make changes easily in the field.

"For years, it was difficult to combine analog circuitry and digital components. The PSoC 5 platform offers a very simple yet powerful building-block approach to adding analog,” said Jean Labrosse, president and CEO of Micrium.

"Combined with the ARM Cortex-M3 processor, it opens up a wealth of new designs in industrial, medical, automotive and consumer embedded applications where Micrium has considerable experience. Micrium's expertise with the Cortex M3 processor and our strong education and support capabilities offer rapid time-to-market and ease-of-implementation advantages to PSoC 5 users targeting the embedded space."

Micrium’s uC/OS-II and uC/OS-III are fully featured kernels designed specifically to address the requirements of embedded systems. Both kernels have been running on ARM cores for years and are especially well-suited for the Cortex-M processor family. The uC/OS-III port for the Cortex-M family uses assembly language optimizations to achieve maximum efficiency in frequently executed kernel functions.

uC/OS-II and uC/OS-III can each be used alone or in conjunction with other best-in-class software components from Micrium’s product line-up. Micrium’s flexible model allows developers to easily combine components into a robust software platform for PSoC 5 projects.

Applied Materials intros new era of smart, productive chip manufacturing with Centris etch system

TOKYO, JAPAN: Applied Materials, Inc. today begins a new era in chip manufacturing with its powerful Applied Centris AdvantEdge Mesa Etch, the smartest, fastest silicon etch system ever made for the volume production of the world's most advanced memory and logic chips.

Featuring an unprecedented eight process chambers - six etch and two plasma clean chambers - the compact Centris system can process up to 180 wafers per hour, lowering the per-wafer cost by up to 30 percent.

Proprietary system intelligence software assures every process on every chamber precisely matches, delivering angstrom-level uniformity on every wafer - a critical requirement for high yield in tomorrow's highly-complex chip designs.

"The new Centris platform is a game-changer for silicon etch, one of the fastest growing segments in the industry, as more and more critical etch steps are required to create the ultra-small circuit features of advanced microchips," said Ellie Yieh, vice president and general manager of Applied's Etch Division.

"The combination of our new Centris platform with our world-class AdvantEdge Mesa technology exemplifies how our customer-focused product innovation is helping Applied gain momentum across multiple etch market applications."

The Applied Centris AdvantEdge Etch system also blazes a new path in green processing. Delivering typical annual savings in power, water and gas consumption equivalent to 600,000 pounds of CO2 emissions compared to currently available silicon etch systems[1], the Centris system can help chipmakers lower operating costs and support their sustainable manufacturing initiatives.

Applied Materials sets milestone: 500 Vantage RTP systems shipped to global customers

TOKYO, JAPAN: Applied Materials, Inc. announced today that it has shipped its 500th Applied Vantage rapid thermal processing (RTP) system, which is used by chipmakers worldwide for fabricating advanced memory and logic chips.

The Vantage system's robust, compact design and best-in-class anneal performance were quickly recognized by customers at its launch in 2002, propelling the platform to market leadership within two years - where it remains today.

According to market researcher Gartner Dataquest, Applied is the market leader in RTP technology, with the majority share in 2009 for lamp-based RTP applications. In addition to its Vantage RTP systems, Applied has more than 500 Centura RTP systems installed at customers worldwide - making Applied's RTP technology the industry's choice for manufacturing virtually every microchip in the last decade.

"The Vantage system demonstrates Applied's leadership in providing customers with the thermal processing technology that delivers the performance, productivity and reliability needed for successful chip manufacturing," said Sundar Ramamurthy, VP and GM of Applied's Front End Products division.

"We also continue to develop new RTP solutions for the Vantage platform, such as the groundbreaking Vantage Astra(TM) millisecond anneal technology that addresses critical transistor scaling challenges."

The Vantage architecture links two RadiancePlus, RadOx or Astra process chambers directly to an Applied factory interface to maximize productivity in minimal cleanroom space.

The system's unique flexibility allows customers to perform all lamp and laser-based thermal processing steps - millisecond, spike and soak anneals, plus multiple nitridation and oxidation applications - on the same production-proven platform. The entire system ships as one integrated unit, reducing installation time to less than 10 days.

Applied Materials advances etch technology to lower TSV manufacturing cost

TOKYO, JAPAN: Applied Materials Inc. has revealed its latest innovations in through-silicon via (TSV) etch technology on its Applied Centura Silvia Etch system. A new plasma source boosts the silicon etch rate by over 40 percent to rapidly create high aspect ratio via structures with smooth, vertical profiles.

This benchmark performance enables the Silvia system to bring the cost for via etch below $10 per wafer for the first time, helping chipmakers bring to market the advanced 3D-IC[1] designs that will power future high-performance mobile devices.

"The new Silvia system is an example of Applied's focus on developing technology to bring down the cost of TSV fabrication - which has been a significant barrier to the widespread implementation of this important technology," said Ellie Yieh, vice president and general manager of Applied Material's Etch Business Unit.

"The Silvia system's unmatched performance has been enthusiastically received by our customers and will help them bring TSV technology to high-volume manufacturing."

A new ultra-high-density plasma source increases the Silvia system's silicon etch rate by over 40 percent while maintaining the system's trademark precise profile control and virtually scallop-free sidewalls - which are critical to the subsequent deposition of high-quality liner and fill films.

In addition, the remarkable speed and precision of the Silvia system makes it ideal for other cost-sensitive 3D-IC packaging applications such as "via reveal etch" that require rapid, highly uniform removal of bulk silicon from the back side of the wafer.

Parade offers new chips to bridge LVDS to DisplayPort LCD panel transition

SAN JOSE, USA: Parade Technologies Ltd, a leading video display and interface IC supplier, announced two new devices that will help manufacturers bridge the transition from LVDS to DisplayPort interfaces in notebook and all-in-one computers.

Parade’s new PS8615 DisplayPort-to-LVDS Converter IC converts single or dual link DisplayPort inputs into single or dual link LVDS outputs, supporting display resolutions up to 1920x1200 (at 60Hz). The new PS8612 converts a single lane DisplayPort signal to LVDS, which supports display resolutions up to 1680x1050 (at 60Hz).

“Many of the newest notebook chipsets have already abandoned LVDS outputs, and leading CPU vendors have indicated that they will completely transition to DisplayPort by 2013,” explains Jimmy Chiu, VP of Marketing at Parade Technologies.

“Meanwhile there continues to be considerable manufacturing capacity for display panels that use LVDS inputs. Our two new conversion devices permit OEMs to mix-and-match computer chipsets and display panels to optimize price and performance in individual systems.”

Both the PS8612 and PS8615 require only a single 3.3v supply. The devices incorporate an on-chip voltage-switching regulator to power 1.2v core circuitry, eliminating the need for external regulators and minimizing power consumption. Power consumption is less than 200mW in typical applications. Both devices also incorporate Parade’s crystal-free technology, eliminating the need for an external crystal or clock reference.

The PS8612 and PS 8615 are both fully compatible with VESA DisplayPort specification 1.1a, and the newly released Embedded DisplayPort specification version 1.2. Both devices support DisplayPort link rates up to 2.7Gbps and LVDS clock rates up to 135MHz. The devices support DisplayPort or Embedded DisplayPort (eDP) with no-link training, fast-link training and full-link training.

Altatech Semiconductor intros EyeEdge system

MONTBONNOT, FRANCE: Altatech Semiconductor S.A. has introduced its new high-throughput AltaSight EyeEdge inspection system, capable of detecting, identifying and generating images of defects as small as 2 microns along the edges of 300mm semiconductor wafers, including silicon, compound semiconductor, SOI, quartz and transparent substrates.

EyeEdge's applications include inspecting bare or patterned wafers, through silicon vias (TSVs) used in advanced 3D semiconductor integration, and thin-film layer overlap at the extreme edges (the crown or apex) of wafers.

Available as either a stand-alone tool or as a modular, fully retrofitable enhancement on Altatech Semiconductor's 300mm AltaSight platform, the new EyeEdge system maximizes device yield and profitability by finding and accurately classifying defects that other inspection schemes cannot.

In addition, EyeEdge's throughput of 100 300-mm wafers per hour enables it to achieve higher productivity than other inspection systems on the market today.

"We developed EyeEdge based on close communications with our strategic partners in the semiconductor market, whose requirements for critical edge inspection and cost-of-ownership performance are incorporated into this new product," said Jean-Luc
Delcarri, president of Altatech Semiconductor.

The company has installed two beta-site systems at customers' R&D laboratories, where the equipment is being qualified for use in volume production.

Designed for a wide range of users – from wafer suppliers to integrated device manufacturers (IDMs) and foundries to product development laboratories – EyeEdge is a fully automated defect-classification system. It comes standard with three high-speed optical sensors for single-pass inspection.

Using Altatech Semiconductor's proprietary DeepSight technology, these sensors collect sufficient data to define the size, shape and location of defects anywhere within 1.5 mm of a wafer's edge. EyeEdge then generates a three-dimensional image of each surface anomaly for easy classification.

Marvell prevails against Jasmine Networks in trade secrets case

SANTA CLARA, USA: Marvell announced that a Santa Clara Superior Court Jury in California found in its favor, rejecting misappropriation of trade secrets allegations brought on behalf of Jasmine Networks Inc.

The jury unanimously found that Marvell did not use or acquire any Jasmine trade secrets and did not violate any nondisclosure agreements.

"We have always maintained that the claims asserted by Jasmine were completely unfounded, baseless, and untrue and therefore we fought for almost a decade to defend our integrity and reputation. The jury's verdict is a total vindication of Marvell's position," said Sehat Sutardja, chairman, president and CEO of Marvell.

"Marvell will always stand up for the principles and standards by which we do business no matter how long it takes to prevail. I'm pleased to have this protracted litigation behind us so that we can continue to focus our energies on what we do best – designing and delivering the world's most innovative semiconductor products."

"Marvell refused to settle the case at any price, confident that the California justice system would eventually vindicate Marvell and its employees," said Jim Laufman, Vice President and General Counsel of Marvell. "Marvell would like to thank the jury for its diligence, serving faithfully since late September."

Santa Clara County Superior Court Judge William J. Elfving presided over the case. Marvell is represented by Latham & Watkins, LLP.

Low-Power HDMI receivers enhance audio/video system performance

NORWOOD, USA: Analog Devices Inc. (ADI) has announced the industry’s smallest, single-chip receivers to incorporate HDMI (High-Definition Multimedia Interface) specification version 1.4a support for 3-D display resolutions and extended colorimetry.

ADI’s ADV7611 low-power, 165-MHz receiver and the ADV7612 225-MHz, dual-port receiver with Xpressview fast switching technology enable A/V (audio/video) designers to deliver rich feature sets and HD performance traditionally reserved for higher priced entertainment systems.

Compared to competitive offerings, the ADV7611 (10x10x1.6mm) and ADV7612 (14x14x1.6mm) offer a 50 percent smaller footprint and a 25 percent reduction in power consumption for designs with 720p and 1080p resolutions. The receivers extend ADI’s Advantiv advanced TV solutions portfolio and are effective for power-sensitive, ultra-slim HTIB (home theater-in-a-box) systems, projectors, AVRs (audio/video receivers), HBR (high-bit-rate) TVs, matrix switches and sound bar speaker systems.

“Until today, designers of high-performance A/V portable devices and infotainment systems were forced to use multiple, higher-power components to offer system designs with feature-rich interfaces,” said Charles O’Roark, product line director, digital video products, Analog Devices.

“By incorporating ADI’s low-power receiver, HDMI technology, and a feature-rich A/V interface onto a single chip, designers can achieve an unequalled combination of performance and accuracy, while eliminating the power limitations that restrict portability and increase system design cost.”

The ADV7611 enables portable designers to achieve 1080p/60f display resolution with 24-bit color. For high-performance A/V systems, the ADV7612 offers 1080p/60f display resolution with up to 36-bit Deep Color and incorporates ADI’s proprietary Xpressview fast switching technology, which enables seamless, automatic switching between HDMI-enabled devices in less than one second. The receivers are available in automotive and industrial grades.

Each receiver features an on-chip CEC (consumer electronics component) controller, support for HDCP (high-bandwidth digital content protection) 1.4 and complete audio support of S/PDIF (Sony/Philips digital interface format) for compressed audio, including Dolby Digital and DTS; and 2-channel I2S audio for transmitting stereo at sample rates up to 192 kHz. The ADV7612 allows for optional inclusion of embedded support for HDCP 1.4 content protection.

The receivers are compatible with other Analog Devices components, including the Advantiv advanced TV switches; Blackfin and SHARC processors; the SoundMAX portfolio of audio algorithms and codecs; and power management ICs.

Monday, November 29, 2010

PMC-Sierra intros highest density dual channel 6Gb/s SERDES

SANTA CLARA, USA: PMC-Sierra Inc. has announced availability of its SynthePHY family of integrated dual channel 6Gb/s SERDES and programmable clock synthesizer solutions optimized for wireless infrastructure radio designs.

The SynthePHY products offer 30 clock outputs that can be programmed over a wide frequency band, ranging from 3MHz to 1.6GHz and meet the phase noise performance required by multi-carrier GSM, WCDMA and LTE systems.

“Wireless infrastructure OEMs are under tremendous pressure to lower their development costs by reducing the number of RF product variants,” said Tom Sun, vice president and general manager for PMC-Sierra’s Broadband Wireless Division. “Our SynthePHY family is highly programmable and designed to meet the rigorous phase noise performance and wideband support required for next generation multi-standard radio platform designs.”

With each new generation of equipment, base station radios are required to provide more functionality, with lower power, in the same form-factor. PMC-Sierra’s SynthePHY replaces up to four components by integrating dual 6Gb/s SERDES, jitter cleaner, on-chip voltage controlled oscillator, clock synthesizer and 30 programmable clock drivers.

It requires only 2200mW to operate all functions at their maximum rates. The high integration and low power of SynthePHY make it suitable for rack-mounted radios, as well as remote radio units where size and power are highly constrained.

SynthePHY integrates PMC-Sierra’s robust SERDES technology to support CPRI, OBSAI, and IR remote radio unit (RRU) designs. The SERDES rates are programmable from 614.4Mbps to 6.144Gbps data rates and are suitable for driving cable, backplane or optical modules making it possible to share a common radio design for rack-mount and remote radios.

SynthePHY includes the accurate delay calibration circuitry needed for measuring delays to satisfy CPRI and OBSAI synchronization requirements. This feature reduces the effort required to develop calibration circuits and provides the accuracy needed for multi-hop remote radio systems.

SynthePHY has 18 differential low voltage 50ohm drivers with programmable output levels and phase control, and supports clock rates up to 1.6GHz. In addition, each device has 12 single-ended LVCMOS drivers with programmable divider and phase control, and supports clock rates up to 307.2MHz.

Integrated jitter of less than 200fs and phase noise floor below -160dBc/Hz on 1GHz differential output clocks and 250MHz LVCMOS output clocks can be achieved using a low-cost VCXO reference. The high number of flexible outputs and low-noise characteristic of SynthePHY enables scaling from single-sector designs to multi-sector, multi-standard, and MIMO designs.

2H’Nov. DDR3 2GB contract price declined 12 percent, DDR3 1Gb is closed to $1.2

TAIWAN: According to DRAMeXchange, the 2H’Nov. DDR3 2GB “Average” contract price declined 12 percent to $22 from $25, while “Low” contract price dropped 12.5 percent to $21.

In 4Q10, DRAM vendors continued increasing output supply, but PC demand is shrinking month by month. The over-supply has been accelerated, resulting in the deeper price cut from DRAM vendors at the purpose of inventory digestion. DRAM contract price has declined 30 percent QoQ in 4Q10, and we expect DDR3 1Gb contract price will decline around 40 percent in 4Q10.

Spot market is also under the low volume and declining price pattern. DDR3 1Gb eTT chip price dropped 5 percent to $1.32, but DDR2 1Gb eTT average price sustain in the stable level given the limited supply. Still, the DDR2 1Gb eTT price mildly declined to $1.44.

Due to the unsatisfactory yield rate from Taiwanese vendors, some low-yield rate or less than 1333Mhz DDR3 chip are raising in spot maket that the low-yield 1Gb eTT chip is closed to $1.

We expect that 1H11 DRAM market will be still over-supply. Facing the pressure on cost, those DRAM vendors who can’t lower the cost effectively will be struggling more. Samsung will strengthen leadership in terms of cost structure and market share given the raising CAPEX and 35nm migration. Samsung is expected to gain over 40 percent market share in DRAM and will still remain profit under dropping DRAM price.

DRAMeXchange expects DRAM price will likely go bottom up from the end of 2Q11 if the DRAM content can be boosted up from dropping DRAM price. $1-$1.2 can be reachable in 2H11. If not, DRAM vendors will accelerate the capacity cut for lower DRAM price.

INSIDE Contactless launches SecuRead SIP for NFC mobile devices

AIX-EN-PROVENCE, FRANCE: INSIDE Contactless, a leader in semiconductor solutions for secure transactions and digital identity, has introduced SecuRead, a complete system-in-package (SIP) NFC solution that makes it simple for manufacturers of NFC mobile and other devices to integrate all of the contactless, security and application functions required for a broad range of NFC payment, retail, transit, ID and access control applications.

SecuRead integrates INSIDE’s award-winning MicroRead NFC controller with a high-performance security controller from Infineon Technologies and a GlobalPlatform-compliant Java Card operating system from Giesecke & Devrient. SecuRead also integrates INSIDE’s Open NFC protocol stack to provide a best-of-breed solution that helps mobile device manufacturers bring rich NFC capabilities to market more quickly.

“Unlike other NFC solutions, which must be integrated from multiple hardware and software providers and are less technically robust, SecuRead truly breaks new ground in the NFC market, providing a one-stop-shop, turn-key solution that mobile device makers can use to enable a broad range of NFC applications in their products,” said Loic Hamon, vice president of products and marketing for NFC at INSIDE Contactless.

“SecuRead offers a superior NFC controller, one of the largest capacity and most flexible secure elements on the market and the leading Java Card OS in a single package, with superior software and technical support from a single, global supplier.”

According to Hamon, INSIDE’s SecuRead already has been selected by a leading mobile device manufacturer for use in NFC mobile device products due out in 2011.

“Trusted applications like banking and payment demand the highest level of proven security in their hardware and their operating systems, and this is what our secure element brings to the SecuRead SIP solution,” said Juergen Spaenkuch, director, platform security at Infineon Technologies.

“Infineon’s secure element chip dedicated to NFC applications has been certified to the highest proven security certifications and type approvals such as Common Criteria EAL5+ (high) and EMVCo. The chip also offers an optimized interface to the MicroRead NFC controller, thereby enabling INSIDE to create a superior product to meet current and future requirements of the mobile industry.”

SecuRead offers all the functionality of INSIDE’s third-generation MicroRead v3 silicon—plus the secure element and Java Card OS—in a VQFN-32 package, and is pin-for-pin compatible with the standalone MicroRead product.

The on-chip Java Card operating system, based on G&D’s Convego Join 4, includes the implementation of the GlobalPlatform 2.1.1 specifications, supports the full Java Card 3.0.1 API and is compliant with relevant ISO standards as well as EMV for domestic and international mobile payment.

The Java Card Operating system supports core AES cryptography for OSPT standards in the transit fare collection market, and a MIFARE-compliant emulation is provided for compatibility for some transit applications. SecuRead also supports HID iCLASS virtual credentials and is HID Trusted Identity Platform (TIP)-enabled for access control and emerging mobile applications.

The secure element features a powerful secure microcontroller with multitasking capability that stores both code and data in a 144KB non-volatile memory, and is Common Criteria EAL5+ (high) certified and EMVCo approved.

“SecuRead represents another productive partnership with INSIDE Contactless to create an innovative solution for the mobile industry,” said Axel Deininger, head of the payments division at G&D. “Our high-performance Java Card solutions, such as the one employed by SecuRead, are tailored to the specific needs of markets with the highest security requirements such as payment, transit, access control and other mobile applications.”

INSIDE’s SecuRead solution is pre-integrated with INSIDE’s Open NFC, a commercial-grade NFC protocol stack that runs on the application or baseband processor to control SecuRead operation.

Open NFC version 3.5 software and source code is available at no cost in Android, Windows Mobile, Linux and Java (JSR-257) editions with API documentation on SourceForge.net. INSIDE also offers a comprehensive suite of NFC payment, transit, loyalty, and access control and identity applets to run on SecuRead, including support for HID iCLASS virtual credentials.

“The new SecuRead best-of-breed solution is the fastest path to implementing iCLASS virtual credentials in NFC mobile phones,” said Dr. Tam Hulusi, senior vice president at HID Global. “Through our longstanding partnership with INSIDE, iCLASS support is now a standard feature of SecuRead. For users looking to put iCLASS virtual credentials on mobile phones, this is great news.”

Engineering samples of the INSIDE Contactless SecuRead system-in-package secure NFC solution will be available in December, with production quantities available in April 2011.

NI intros NI TestStand 2010 with enhanced team-based test software development tools

AUSTIN, USA: National Instruments has introduced NI TestStand 2010 , the industry’s most widely used commercial off-the-shelf test management software for automated validation and production testing.

NI TestStand helps test engineers build a powerful software framework for accelerating the development of test sequences and minimizes the total cost of ownership of maintaining test executive software deployed across many test stations.

The latest version adds many new team-based development features including a Sequence Analyzer, a three-way file diff-and-merge utility, support for new PC technologies and enhanced integration with NI LabVIEW graphical system design software. NI TestStand 2010 is ideal for a variety of automated test applications within telecommunications, consumer electronics, automotive, aerospace/defense and other industries.

“We have been using NI TestStand for the last six years and have seen significant efficiency gains when compared to a custom test executive,” said Warren Scott, software design engineer at Tektronix and Certified TestStand Architect. “The new Sequence Analyzer feature promises to greatly reduce the time required to debug our test sequences and help us to better adhere to our coding guidelines and best practices for NI TestStand.”

With the new NI TestStand 2010 Sequence Analyzer, teams can more efficiently collaborate on sequence development without spending time performing manual error checking. For example, after engineers create a test sequence, the Sequence Analyzer checks the code against standard rules to ensure error-free code. It includes numerous rules for common errors and also helps engineers create their own custom rules within the analyzer utility.

A new three-way diff-and-merge utility complements the Sequence Analyzer and provides teams with improved functionality for comparing and easily merging different files. This is especially useful for teams using source-code control software to manage the revision control of their test software development.

NI TestStand 2010 also supports the latest PC technologies with features including a redesigned .NET Adapter that takes advantage of chained calls with dot notation and many other .NET Framework improvements.

Additionally, NI TestStand 2010 supports 64-bit integers and pointers, which simplifies migration to 64-bit code modules so engineers can develop more memory-intensive applications. With these enhancements, engineers can implement the most advanced technology in their test system designs.

NI TestStand 2010 is optimized for use with LabVIEW. It takes advantage of LabVIEW 2010 packed project libraries so engineers can create more modular test architectures. NI TestStand also integrates with LabVIEW projects and classes to help teams implement object-oriented programming and combat obsolescence by using hardware abstraction layer architectures.

As an added benefit, engineers using NI TestStand 2010 gain enhanced support for symmetric multiprocessing to simplify access to LabVIEW multithreading for improved performance on multicore processors.

Fourth quarter shifts: Q4 analog revenue update

USA: Analog, the semiconductor components tasked with conditioning or manipulating those "real world" signals (temperature, position, sound, light, or pressure for example), is critical to applications across all major markets.

Because of its fundamental importance to most product designs, as well as requirements that make integration with digital circuits difficult, analog remains a good bellwether for the general health of the semiconductor industry.

Databeans expects a slowdown in post-holiday product builds for global analog revenue. Fourth quarter revenue is predicted to decline 7 percent sequentially from $11.06 billion in the third quarter down to $10.3 billion. First quarter 2011 sales will rebound slightly from a low fourth quarter, growing 3 percent sequentially to a little over $10.6 billion globally.

As a whole, pricing will remain consistent and even with additional capacity coming online, there should be little change in pricing from 2010 going into 2011 as most of the realized cost for analog is in design and not necessarily in manufacturing.

Databeans further divides the analog market into two general segments. The first is general purpose analog, which are designed taking a "standard cell" approach in mind and are products found across all application markets.

General purpose analog will experience milder declines in the fourth quarter of 2010, dropping 6 percent to $4.5 billion, before returning to quarter three revenues by the beginning of 2011.

Power ICs are the largest of these markets, and due to their ubiquitous nature across the industry, will experience the lightest declines of any analog product in the fourth quarter dropping just 2 percent to $2.45 billion in total sales.

The other major segment of analog products is application specific in design and restricted to their respective application markets (automotive, computer, consumer, communications, and industrial). Because these products are tied to individual applications, they are far more vulnerable to the sudden ups and downs found in their respective markets.

As a whole, the analog ASSP market will decline by 8 percent down to $5.6 billion between the third and fourth quarters of 2010. However, it is expected to rebound slightly by 2 percent sequentially going into the first quarter of 2011.

In particular, the computer analog segment will experience the greatest declines of any individual analog market, dropping by approximately 17 percent from the third quarter to the fourth quarter this year. Industrial analog will follow with another 14 percent decline, while communications will experience the mildest declines of any analog ASSP category, falling 3 percent sequentially in the fourth quarter.

In terms of individual market share, the analog and mixed signal markets remain relatively concentrated, with the top ten suppliers easily owning a majority share of the industry. This has kept competition somewhat lower and made large swings in market share uncommon. Texas Instruments, STMicroelectronics, and Infineon remain among the list of overall market leaders in analog ICs.

Worldwide Analog Quarterly Revenue ForecastSource: databeans estimates.

Samplify Systems, Telemed announce strategic relationship

CHICAGO, USA: Samplify Systems, a leading provider of technology, semiconductors and sub-systems for the ultrasound market, and Telemed Ltd, a leading provider of PC-based ultrasound systems and imaging software, announced a strategic agreement leveraging each company's industry leading hardware and software solutions for the ultrasound market.

Under terms of the agreement, the companies will jointly develop and market an ultrasound platform for medical equipment OEMs. The ultrasound development system will use Samplify's complete front-end beamforming platform, based on its Autofocus beamforming technology, and Telemed's award winning image processing and configurable graphics user software interface.

This alliance provides ultrasound manufacturers worldwide with a complete hardware and software solution — from development to production — that quickly brings to market advanced ultrasound machines.

Also covered by this agreement, Telemed will develop a next-generation system using Samplify's AutoFocus beamforming technology and analog-front-end modules, thus expanding its long line of highly successful ultrasound machines.

Telemed will market this system both on an original equipment manufacturer and an original design manufacturer basis. Additionally, Telemed will become a preferred software partner providing its image processing SDK and integration services to customers of Samplify's hardware beamforming development platform.

Telemed's image processing SDK supports all imaging modes and enables the OEM to easily configure the look-and-feel to meet their user interface and workflow specifications.

Semiconductor success no longer about chips, it’s about end customers

Scott Grant, Accenture

USA: The year 2010 has been both profitable and turbulent for the semiconductor industry. As companies across the retail, communications and consumer technology industries build new products with embedded technology and greater programmability, the software-defined nature of devices is changing the usefulness and product life-cycle expectations for semiconductor components.

This change requires much deeper understanding by semiconductor designers and manufacturers of shifting customer needs. As such, the end user – the customer – has more power than ever before. To achieve high performance, semiconductor companies must lend a more attentive ear and more sharply focus their attention on their newly empowered bosses. Designing chips, and all the engineering that goes into that, is not nearly enough to achieve high performance in this industry.

In this intensified focus on customers, chip firms need to gather insights into the end market to understand the specific economics, cyclical nature of demand, and its unique nuances.

Two areas are critical. First, is understanding end market usage models via channel and data analytics. This means grasping how usage and movement of technology, such as smart phones and e-tablets as a convergence of key forms and functions, are progressing within a specific market.

Second, is comprehending end market value chains, meaning what additional insights and expertise are required to succeed in developed and emerging markets that result in added-value to direct and indirect customers. The goal is for chip firms to become value-add design and supply partners versus volume component chip manufacturers.

Beyond becoming value add partners, semiconductor companies must move from being engineering-centric to product-centric. What does this mean? Whereas engineering-focused companies concentrate on a roadmap for technology and specific step-by-step improvement in technical performance, product-focused companies concern themselves more with creating platforms to match uses and interests of their ethnographically diverse customers. Leading firms incorporate this process within their strategic long range product roadmaps with a three-to-five year view.

The transformation from an engineering-centric model to an end-market model calls for a new set of skills. There are five key areas where significant change is required to create the necessary integration and responsiveness in the value chain:

• market and customer segmentation;
• engineering;
• product lifecycle and release management;
• supply chain and supplier management; and,
• sales operations and deal management.

2011 surging tablet and limited notebook and netbook growth

TAIWAN: According to DRAMeXchange, the 2011 desktop shipments will increase 6.1 percent YoY to 127 million units, while notebooks (netbooks included) will increase 15.4 percent YoY to 222.7 million units. Netbooks declined 2 percent YoY to 32.6 million units. Tablet PCs will rise 237.5 percent YoY to 51.3 million units in 2011. Total PCs (tablets excluded) is estimated at 350.2 million units with 11.8 percent YoY in 2011.

The PC industry will be influenced by tablet PCs and indicates the growing pattern. We expect that it will re-shape the industry.

2011 tablet PC will increase 237.5 percent YoY in 2011
According to DRAMeXchange, after launch in April, iPad shipment has already reached 7.5 million units by 3Q10. Other vendors will also aggressively penetrate into this market and we are carefully watching that if other vendors can compete with iPad in hardware and software manners.Source: DRAMeXchange.

DRAMeXchange thinks that the iPad will account more than 95 percent market share given the better integration of software and hardware and total tablet PC shipment will be around 15.2 million units in 2011.

The 2011 tablet shipment is expected to be 51.3 million units since more products will be ready for the market. However, iPad is forecast to account more than 80 percent market share since most non-iPad tablet will be ready in 2H11.

Non-iOS surged in a dramatically path. Android market app volume has exceeded 100K, far beyond the 300K for apple app store. However, it still shows the threat to iPad.

2011 notebooks (netbooks included) is limited with 15.4 percent YoY
DRAMeXchange thinks that 15-20 percent tablet PCs will cannibalize the original notebook demand. Take the total 51.3 milllion units shipment in 2011, tablet PC will cannibalize 7-10 million units notebooks demand. Despite the new Intel CPU launch in 1Q11, 2011 overall notebooks growth will be around 15.4 percent YoY and 222.7 million units in scale, which is lower than historical 20 percent YoY growth pattern.

Given the impact from Chinese New Year and new platform launch, 1H11 and 2H11 notebook shipment pattern will be shaped as 45:55 percent, which is totally different from the historical 40:60 percent pattern.Source: DRAMeXchange.

2011 netbook growth rate declines 2 percent YoY with threat from tablet
Netbooks reached their peak 28.4 million units with 172 percent YoY in 2009, since the newly launch in 2007. In 2010, especially for European and American market, netbook face the cannibalization from tablet that most OEM and ODM are conservative toward the netbook in 2H10. DRAMeXchange estimated that in 2010, netbooks will grow 17.2 percent YoY to 33.3 million units.

We expect that the surging tablet PC will limit the growth in netbook in 2011. However, netbook still has some demand for basic functionality such as office computing. DRAMeXchange expects 2011 netbook shipment will be 32.6 million units with 2 percent YoY decline.Source: DRAMeXchange.

Desktops benefited from new demand of emerging market
Despite the continuous replacement effect from notebooks, DRAMeXchange still think that the desktop growth rate will be stable at the consideration of new demand from emerging areas such as China and other emerging countries.

Such as Latin American market, most new PC demand is from the family and notebook is less attractive. The China PC market share is expected to surpass over 20 percent in 2010 since growth in European and American market is beyond expectation. DRAMeXchange expect desktop market will grow 6.1 percent YoY to 127 million units in 2011 from 120 million units in 2010.Source: DRAMeXchange

If Tablet PC is merged into notebook demand, annual growth rate is 31.6 percent
Tablet PC are cannibalizing the notebook market. If taking into consideration, 2010 notebook increases 30.3 percent YoY to 208.2 million units.

The 2011 notebook (included netbook,tablet PC) increased 31.6 percent YoY to 274 million units. Total notebook markets indicate the huge growth rate. The 2010 notebook shipment will be 208.2 million units and will grow 31.6 percent to 274 million units in 2011, if the tablet is included.Source: DRAMeXchange.

Sunday, November 28, 2010

Landscape of 2011 global NAND flash market

TAIWAN: According to DRAMeXchange, as for 2011 NAND Flash market outlook, we expect seasonality for 2H11 hot-season effect to be improved compared with 2H10 since economic recovery for advanced countries will further improve as well, given the 2011 global economic recovery progress is slightly slower-than-expected.

1H11 will still be impacted by the slow-season effect and oversupply is likely to happen during the period. However, NAND Flash demand from new smart phone and tablet PC launch as well as Chinese Lunar New Year holidays will partially ease the slow season effect so that the 1Q11 oversupply conditions will be less than 2Q11.

As some NAND Flash vendors will consider to slightly slow down the bit output growth pace helping ease the oversupply in 1H11, we also expect NAND Flash market to be back to more balanced status in 2H11.

Even though NAND Flash vendors have already cautiously adjusted the future production plan facing the volatile market status, yet we still think seasonality issue will still affect the NAND Flash price trend in 2011. 2010 NAND Flash price decline is significantly relatively lower than past several years, given the efforts of proper control on supply growth and profitability improvement concerned from NAND Flash vendors.

However, we expect NAND Flash price trend to mainly vary with the cost-down effectiveness of process technology migration as well as the seasonality of procurement demand in 2011. We expect the NAND Flash price decline in 2H11 to be less than 1H11.

However, the pricing strategy of NAND Flash suppliers will be varied based on different customer mix and order status in the short term. We expect NAND Flash ASP to decline about 35 percent YoY in 2011 so that the global NAND Flash sales will grow about 16 percent YoY to reach $21.5 billion in 2011.Source: DRAMeXchange.

We expect 2011 bit output growth of NAND Flash suppliers to be mainly driven up by process technology migration that new 300mm wafer capacity expansion will be added properly depending on market demand status while some 200mm wafer equipment will be continuously phased out.

The mainstream NAND Flash process technology will be migrated to 3xnm & 2xnm in 2011 from 4xnm & 3xnm in 2010. Therefore, DRAMeXchange expects 2011 worldwide NAND Flash bit supply to grow 78.3 percent YoY to 9,326 M 16Gb equiv. from 5,232 M 16Gb equivalent in 2010.

From the demand side perspective, tablet PC and smartphone are the two foreseeable spotlight in 2011 NAND Flash demand application.

With the trigger from iPad and other iPad-like product penetration, DRAMeXchange estimate 2010 tablet shipment will reach 15M units. 2011 tablet shipment is expected to be 50M units given the strong iPad growth and catalyst from Android system. Also, Tablet PC is likely to turn to the second mobile device in consumer and commercial market.

We expect embedded Flash consumption portion can reach over 60 percent in 2011 since smartphone can account 1/4 of mobile handset and strong momentum from tablet PC. It means that NAND Flash vendors need to make strategy and product planning based on the shipment pattern of consumer electronics and emerging embedded design.

With the continuous embedded Flash product development, the cooperative relationship between vendors and system customers will also be the spotlight in competition. NAND Flash vendors will aggressively rise up the exposure in system customers and develop more new NAND application coping with the new devices.

The 2010 NAND Flash demand bit growth is estimated to grow 76.5 percent YoY to 5,150.2M 16Gb equivalent. Given the steadily rebounding global economy and surging smartphone and tablet PC, DRAMeXchange expects 2011 NAND Flash demand bit growth will increase 80.2 percent YoY to 9,283M 16Gb equivalent.Source: DRAMeXchange.

Saturday, November 27, 2010

2011 DRAM industry outlook

TAIWAN: According to DRAMeXchange, the research department of Trendforce Corp., DDR3 2GB contract price has declined 46 percent from the peak $46.5 in 1H10 to the level of $40 in 1H’Sep, below $30 in 2H’Oct., and finally at $25 in 1H’Nov.

Despite the outperformed September NB shipment, the overall quarterly growth is merely 2.6 percent since the traditional hot season sales are not satisfactory.

In the DRAM output side, Samsung’s output growth will reach peak at 3Q10 given the outperformed technology node and early immersion scanner settlement and Samsung also record the good performance in terms of 3Q10 revenue.

Other DRAM vendors will sharply increase output in 4Q10 given the immersion scanner settlement in 2H10 that they tend to offer the lower price to the buyers at the pressure of sales under over-supply situation. Those factors combined result in the acceleration of price decline in 2H’Oct. contract price. DRAMeXchange expects DDR3 contract price will likely be down 30 percent QoQ to $20 by the year end.

Due to the foreseeable dropping DRAM price, DRAM vendors turn cautious toward the CAPEX. Samsung will properly adjust the Fab 16 plans based on the market condition in the future while PSC will advise down CAPEX 20 percent to NT$16 billion, while Rexchip R2 expansion plans will be postponed.

From the investor conference on 11/4, Elpida declared the monthly 60K capacity reduction in commodity DRAM and will either lower the outsourcing orders or commodity wafer-in in Hiroshima fabs.

In 11/8, PSC announced on 10-15 percent capacity reduction on commodity DRAM and will revise the capacity to foundry area. It is also said that Hynix 3Q/4Q output will be impact by the unsatisfactory yield rate in 44nm process.

DRAMeXchange expect 2011 DRAM supply bit growth will increase 50 percent given the accelerated migration. Samsung expect 35nm portion will be over 50 percent in 2H11 while other vendors such as Hynix, Micron and Elpida will MP 3xnm in 2Q10. Taiwanese vendors aim at the 4xnm migration at the purpose of lowering cost for DRAM dropping price.

DRAMeXchange expect DRAM price will bottom up from the 1Q11 or end of 2Q11. 2011 PC growth rate is estimated at 11.8 percent and tablet will stimulate over 80 percent growth demand in mobile DRAM.

However, DRAM vendors will be more conservative toward the CAPEX in 1H11 with the concern in dropping price. It is anticipated that lower DRAM prices in the coming quarters to boost the content growth from 2Q11.

We forecast that the 2011 content for DT and NB will increase 36 percent YoY and 31 percent YoY to 4.22GB/4.00GB, respectively. Netbook content will be also pulled up to 2.13GB with 105 percent YoY with affordable price and 2Gb chip migration.

Fujitsu expands lineup of 8-bit LCD driving MCU, 8-bit MCU compatible with 8COM segment display LCD controller

SINGAPORE: Fujitsu Semiconductor Asia Pte Ltd (FSAL) has announced the forthcoming release of a new series of high performance 8-bit microcontrollers with segment-display LCD controller built in.

This new series is regarded as a member of the F²MC-8FX family. This series includes 12 products from the 64-pin MB95470 series and 6 products from the 80-pin MB95410 series. Samples of these new products are available in early November 2010 and product shipments will commence in January next year.

With the growing popularity of segment-display panels in household appliances such as air-conditioners, washing machines, refrigerators and microwave ovens, instruments such as a temperature controller with an attached LCD display are becoming a trend, which raises the need for a low-cost microcontroller with LCD control function.

To meet market demand, Fujitsu Semiconductor has developed the 64-pin MB95470 Series and the 80-pin MB95410 Series, of which the operating conditions are 2.4 V ~ 5.5 V. Based on the 8-bit microcontroller of the F²MC-8FX family, this series of products incorporates flash memory with security functions, as well as LCD control capabilities.

In addition to the LCD control function, the MB95470 Series and MB95410 Series products are also equipped with highly compatible timers, analog comparator, high precision AC/DC converter and oscillation circuit, aiming to significantly lower the cost on the customer’s side by reducing system configuration components.

As these products adopt the 1-wire on-chip debug function, users only have to use a small number of pins to perform debugging during product development.

Automotive MEMS sensor market to reach record high in 2010

EL SEGUNDO, USA: Driven by the rapid recovery in automotive production and inventory rebuilding among sensor component suppliers, the market for automotive microelectromechanical system (MEMS) sensors will expand to record size in 2010, according to market research firm iSuppli, now part of IHS Inc.

Marking a new high point for the industry, shipments of automotive MEMS sensors will reach 662.3 million units in 2010, up a robust 32.1 percent from 501.2 million units in 2009.

The projected year-end levels—including the replenishment of inventory pipelines that were depleted during the recession of 2009—will exceed even the pre-crisis high point in 2007 of 640 million sensors, iSuppli data research shows.

“The recovery in automotive MEMS shipments represents a happy turnaround from the depressed levels of 2009 when shipments cratered and reached a nadir, and the years ahead will provide additional room for expansion,” said Richard Dixon, senior analyst for MEMS and sensors at iSuppli.

Nonetheless, growth will slow in 2011, with shipments anticipated to climb just 7.3 percent as the market normalizes following the exuberance in 2010. Production then will pick up again in 2012, and growth rates end up north of 13 percent by 2014, as shown in the figure.Source: iSuppli, USA.

Big shapers, new applications
One significant engine of automotive MEMS growth is the use of sensors in passenger cars supporting mandated safety technologies such as electronic stability control (ESC) and tire pressure monitoring systems (TPMS).

The United States and Europe have led the adoption of legislation on such safety systems—and other countries like Australia and Canada have quickly followed suit.

However, similar mandates are now being adopted in South Korea and are expected in Japan, accelerating overall adoption rates worldwide. The extra opportunity from both ESC and TPMS for automotive MEMS suppliers to Japan and Korea will correspond to additional revenue of some $120 million in those regions alone for the next five years, iSuppli has determined.

China will also account for a large portion of the automotive MEMS action. Compared to U.S. or European vehicles, the electronics content of low- and mid-range vehicles in China is about 50 percent or less, but sensor penetration will steadily increase—first in powertrain applications in order to reduce carbon emissions that choke Chinese cities and afterward as safety sensors for additional airbags and ESC systems.

Among the new applications providing suppliers greater production opportunities for automotive MEMS sensors, the most prominent include usage of gas sensors to control air quality in the cabin; infrared thermopiles to monitor temperature; microbolometers to aid night-vision systems and MEMS oscillators to boost rear-view cameras.

Sensor fusion will be a contentious issue, however, Dixon said. While the sales of accelerometers used to measure inclination as part of an electronic parking brake (EPB) will accelerate in Europe in the next five years, EPB prospects are also dampened by ESC systems, which already contain the 2-axis accelerometers capable of delivering the required inclination signal for parking brakes.

“Sensor fusion uses existing sensor signals and adds application algorithms to augment existing systems, such as ESC with features like hill-start-assist functionality, for instance,” Dixon said.

“This is a bane for sensor suppliers, which must rely on opportunities that involve standalone systems to provide additional sensors. On the other hand, inclination-based car alarms do not access accelerometers in ESC systems and require standalone accelerometers,” he added.

Other applications that will propagate the use of sensors include passenger protection systems that detect impacts by means of either accelerometers or pressure sensors located in the front bumper; as well as stop-start systems that need pressure—and other non-MEMS based measurements to supply critical data when a vehicle’s engine is turned off at a junction, Dixon said.

Inroads also being made by consumer-oriented suppliers
iSuppli also notes that some consumer-oriented MEMS sensor suppliers are making inroads into the automotive market, widening the pool of players participating in the space.

In particular STMicroelectronics—the leading MEMS supplier for consumer and mobile application and which so far has targeted non-safety critical applications in automotive such as car alarms and navigation—has now entered the airbag market with a high-g accelerometer.

STM is expected to leverage its significant manufacturing economies of scale, which likely will lead to additional price pressures and new cost structures in the industry.

Source: iSuppli, USA.

ST unlocks further eco-design, cost and audio enhancements for home multimedia equipment

GENEVA, SWITZERLAND: Tomorrow's home audio-video equipment and set-top boxes that feature the TS4604 stereo audio amplifier from STMicroelectronics, a leading supplier of ICs for consumer electronics, will combine several audio improvements contributing to enhanced ownership experience.

The TS4604 integrates a 100mW stereo headphone output and a driver for the audio Line Out connection of LCD and plasma televisions, set-top boxes, PVRs, Blu-ray players, and PC-audio products such as sound cards. Its low operating power and dedicated shutdown control per function help meet eco-design objectives.

In addition, self muting enhances listeners' experiences by preventing audible pop and click noises when external factors cause the supply voltage to dip.

Equipment designs can also be simplified, delivering cost savings, because the TS4604 integrates charge-pump circuitry supplying negative voltage to the amplifiers.

Hence, only a single 3.0V to 4.8V external power supply is needed to sustain the amplifier's output signal, which can be up to 2Vrms at the line driver output. There is also no need for a high-voltage power supply for the Line Out circuitry. DC blocking capacitors are also eliminated, saving cost and PCB (printed-circuit-board) space.

Main features of the TS4604
* 100mW stereo headphone output into a 16 Ohm load with 1 percent THD+N.
* 2Vrms stereo line-driver output voltage at all supply voltages.
* 5mA quiescent current for both functions.
* 1uA shutdown current; 30ms wake-up.
* 90dB Power Supply Rejection Ratio (PSRR) on headset and line driver outputs.

The TS4604IPT is in production now, in a halogen-free 28-pin TSSOP package, priced at $0.75 in quantities of 1000. Alternative pricing options are available for larger quantities.