Friday, December 30, 2011

Tegal announces sale of nanolayer deposition patent portfolio

PETALUMA, USA: Tegal Corp. announced that it has awarded patents to multiple bidders for three of the four bid lots of Tegal’s NLD Patent Portfolio recently offered for sale for an aggregate consideration of approximately $4 million. To date, approximately $3.6 million has been received.

Tegal sold over 30 patents from the NLD portfolio—which includes more than 35 U.S. and international patents in the areas of pulsed-chemical vapor deposition (CVD), plasma-enhanced atomic-layer deposition (ALD) and NLD. NLD is a process technology that bridges the gap between high throughput, non-conformal chemical vapor deposition and lower throughput, highly conformal atomic layer deposition (ALD). Tegal offered the patent portfolio for sale earlier this year in an effort to complete the divestment of its semiconductor capital equipment assets.

In March 2010, Tegal sold its legacy thin-film etch and physical vapor deposition (PVD) product lines to OEM Group, Inc. of Gilbert AZ, and in February of this year, sold its deep reactive ion etch (DRIE) assets to SPTS of Newport, Wales, UK.

The company reports that discussions are ongoing for placement of Lot 4 of the portfolio, which applies to copper barrier and low-k dielectric technology. “Interest in Lot 4 is coming primarily from IC device manufacturers, reports Robert Ditizio, Tegal’s chief technologist, whereas interest in Lots 1 through 3 was driven largely by equipment manufacturers.”

Cypress’s Gen4 TrueTouch controller in production ahead of schedule

SAN JOSE, USA: Cypress Semiconductor Corp. announced that its recently introduced Gen4 family of TrueTouch touchscreen controllers is now in production ahead of schedule.

Gen4 delivers industry-best performance in all categories, including the world’s best signal-to-noise ratio (SNR) and unparalleled performance in the presence of all noise sources—the biggest challenge faced by touchscreen designs. Gen4 also has the lowest active power consumption, assisting manufacturers in solving the ever increasing battery life issues common to handheld touchscreen devices.

“Customer reaction to the Gen 4 products has exceeded our high expectations and is expected to set new design win records for our True Touch products,” said Dhwani Vyas, VP of Cypress’s User Interface Business Unit.

“The ability to build thinner phones with flawless touchscreen performance without additional cost is proving to be extremely attractive to manufacturers. In addition, initial customer production shipments will begin in the first quarter of 2012, ahead of our previous estimates.”

Breakthrough noise elimination
The Gen4 family was designed from the ground up to deliver the world’s highest SNR in real world applications. It is the first and only touchscreen IC that delivers built-in 10V Tx to drive the touch panel at 10V. Because SNR is directly proportional to the voltage at which the panel is driven, this feature allows Cypress to offer nearly four times the SNR of the next closest competitor.

The Gen4 family further raises the SNR bar as the first touchscreen device family to completely eliminate display noise in hardware. Gen4’s patent-pending Display Armor offers unprecedented immunity to noise from every type of display, even noisy low-cost displays such as ACVCOM LCDs. This allows touchscreen designers to make their products thinner by removing the air gap between the display and the sensor, and also less expensive by removing the shield layer in the sensor. Display Armor allows Gen4 to operate seamlessly with direct lamination, on-cell and in-cell stackups, regardless of the display chemistry.

World’s fastest and lowest power touchscreen controller
In addition to unmatched noise performance, Gen4 offers the world’s lowest active power consumption of 2 mW, and a deep sleep mode that only draws 1.8 uW with wake-up via address match on the COM port. Shortened battery life is a common issue for smartphones and other portable touchscreen devices.

Gen4 gives OEMs another tool to help solve this problem. The Gen4 product family also boasts the industry’s fastest refresh rate of 400Hz, and has the unique ability to scan a capacitive touch panel at 1,000 Hz – both industry-best metrics. This level of performance is enabled by the 32-bit ARM Cortex core at the heart of the Gen4 products. The Gen4 family enables unlimited touch performance, while providing the industry’s best accuracy and linearity of 0.2 mm.

Gen4 also offers more capacitive sensing I/O than the competition, while still fitting into the world’s smallest touchscreen packages. With up to 40 I/O for mobile phone applications, Gen4 can support up to four standalone CapSense buttons while still delivering ideal sensor pitch for up to 5-inch screens.

The Gen4 family also offers features that only TrueTouch can deliver, including waterproofing functionality that allows products to meet IP-67 standards; 1-mm stylus support for Asian character sets and accurate handwriting capture; and hover sensing to provide mouseover-like features and true fingernail or thick-glove support in mobile devices.

Cypress’s unique, patent-pending ability to switch dynamically between self-capacitive and mutual-capacitive sensing methods in application enables these differentiating features. Cypress now has over 250 issued and pending patents pertaining to touchscreens.

Touchscreen leadership
Cypress’s touch sensing portfolio is the industry’s broadest and most well adopted, encompassing both TrueTouch and CapSense solutions. The Gen4 family is the latest in a string of touchscreen firsts from Cypress, the acknowledged industry leader in touch sensing. Examples include:

* Cypress was the first to deliver tracking of unlimited touches.
* Cypress was the first to deliver a true single-layer sensor solution for capacitive performance at resistive prices.
* The new Gen4 family, as well as prior generations, is offered in the world’s smallest CSP packages for important space savings in handsets.
* Cypress is still the only company to offer the patent-pending self and mutual capacitance sensing on the same chip, enabling the development of advanced features like waterproofing, support for 1mm stylus, and hover without increased material or spatial costs.
* TrueTouch is designed to work with major mobile platforms, including Android and Windows 8.

UMC pushes 8" manufacturing limit with A+ technology solution

HSINCHU, TAIWAN: United Microelectronics Corp., a leading global semiconductor foundry, announced its A+ technology platform, a specialized 0.11um aluminum process manufactured in UMC's 8" fabs.

UMC is the only foundry to offer the most complete 0.11um full aluminum BEoL (Back End of Line) process, providing customers with a cost effective alternative to mainstream 0.13um and 0.11um copper technologies. The unique A+ platform consists of a comprehensive blend of technology offerings that no foundry can provide, which include logic/MM, RFCMOS, eFlash, eE2PROM, eHV and CIS to address customers' integration, performance, and cost requirements.

Steve Wang, VP of Asian Sales and Corporate Marketing at UMC, said: "There are many applications still enjoying a comfort zone within 8" manufacturing facilities due to the attractive cost vs. performance benefits. For applications such as timing controllers, LCD controllers, remote controller, small panel display drivers, surveillance and medical CMOS Image sensors, etc., A+ is the perfect technology sweet spot. With its comprehensive technology portfolio, the A+ solution offers an ideal migration path for 0.18um or existing 0.13/0.11um Cu-BEoL products so that customers can differentiate their market position for increased competitiveness."

The unique A+ 0.11um specialty platform incorporates state-of-the-art solutions to help designers find an optimal balance between cost, performance, and functionality. A+ combines the most leading-edge 8" production technology with aggressive Al-BEOL design rules. A full suite of IP, HV-LDMOS, I/O devices, RF models and passive components complete the comprehensive A+ offering, with flexible 8-inch manufacturing capacity to support customer production requirements.

Thursday, December 29, 2011

Hua Hong Semiconductor and Grace Semiconductor complete merger

SHANGHAI, CHINA: Hua Hong Semiconductor Ltd and Grace Semiconductor Manufacturing Corp., two of the leading semiconductor foundries in China, jointly announced the completion of the merger of the two companies.

Hua Hong and Grace entered into a legally binding agreement to effect a merger between the two companies on September 13, 2011. The merger was completed with a stock-for-stock transaction. Under the terms of the agreement, Hua Hong issued new shares to the existing shareholders of Grace in exchange for all Grace outstanding shares.

Chairman of Hua Hong and Grace, Fu Wenbiao, commented: "Hua Hong and Grace are two of the leading players in the Chinese semiconductor foundry industry, both of which have fabs in Shanghai Pudong area. By integrating their manufacturing facilities, process technologies and human resources, Hua Hong and Grace are able to leverage their complementary strengths to further expand their combined product range and customer coverage and to improve economies of scale.

"As a result, the combined company would be able to provide a boarder range of services to our customers, together with increased capacity and stronger R&D capabilities. We are committed to continue to provide high-quality products and services for our customers and are extremely excited about the new prospects both domestically and internationally."

The proforma combined revenue and net income for 2011 are expected to be around $600 million and $100 million respectively.

Barclays Capital acted as sole financial advisor on this transaction.

Global MxSoC market worth $212.69 billion by 2016

DALLAS, USA: The report "Global Mixed Signal System-on-a-Chip Market (2011-2016)" defines and segments the global mixed signal system-on-a-chip (MxSoC) market with analysis and forecasting of the global volumes, shipments and revenues. It also identifies driving and restraining factors for the gigantic global market with analysis of trends, opportunities, winning imperatives and challenges.

The market is fully segmented and revenues and volumes are forecasted on the basis of major geographies such as North America, Europe, Japan, Asia Pacific (Excluding Japan) and Rest of the World (ROW). Also, the revenues for the Intellectual Property (IP) Market of the MxSoC market are also forecasted with extremely detailed classifications.

Further, the market is segmented by application and revenues & volumes are forecasted on the basis of all applications in all the end user verticals. The market is also segmented according to the existing technology nodes in the SoC industry and all revenues and volumes are forecasted till 2016.

The global System-on-a-Chip (SoC) market is expected to grow from $85.9 billion in 2011 to $225 billion in 2016 at a CAGR of 21.24 percent from 2011 to 2016. The Mixed Signal System-on-a-Chip (MxSoC) market is expected to be $72.16 billion in 2011 (a percentage share of 84 percent in SoC market). This market is estimated to grow by a CAGR of 24.14 percent from 2011 to 2016, reaching $212.69 billion by 2016, also increasing its percentage share in its parent market - the global SoC market to 95 percent.

The rising demand of MxSoCs in several applications of each and every one of the end user verticals is one of the reasons for such tremendous growth. Also, rising trend of several advanced performance delivering end user applications using MxSoCs in the computing, information technology and consumer electronics sectors is another reason for huge growth in the MxSoC market.

Newport enters into agreement to acquire ILX Lightwave

IRVINE, USA: Newport Corp. has entered into a definitive agreement to acquire ILX Lightwave Corp., a market and technology leader in high-performance test and measurement solutions for laser diodes and other photonics components.

ILX, headquartered in Bozeman, Montana, expects 2011 revenues of approximately $8 million. The company is profitable, and Newport expects the acquisition to be accretive to its earnings immediately after closing. The consideration to be paid by Newport in the transaction is $9.3 million in cash, subject to adjustment based on ILX's net assets at closing. The transaction is expected to close in January 2012.

Robert J. Phillippy, Newport's president and CEO, said: "The addition of ILX, together with our recent acquisition of Ophir Optronics, will further enhance Newport's position as the industry's leading provider of photonics instrumentation and measurement equipment. With our expanded portfolio of products and technology, we will offer our customers solutions to the most demanding applications in both the scientific and industrial markets."

ILX offers a broad range of photonics instrumentation, including laser diode controllers and drivers, temperature controllers, current sources, optical power and wavelength meters, semiconductor laser/LED burn-in, test and characterization systems, and fiber optic sources.

ILX distributes its products in North America, Europe and Asia, and its customers include Fortune 500 corporations, national research laboratories, and government and educational institutions, many of whom are already customers of Newport for other products. ILX will become a wholly owned subsidiary of Newport, and will operate as part of Newport's Photonics and Precision Technologies Division.

Wednesday, December 28, 2011

Eurotech announces plans for latest embedded module in Catalyst family

COLUMBIA, USA: Eurotech, a leading supplier of embedded technologies, products, and systems, announced plans to launch the next generation of modules in the Catalyst series of embedded computers.

The Catalyst CV, based on the Intel Atom processor N2000 series, will offer significant benefits to customers looking for dual-core performance capabilities with enhanced multimedia, and a greater choice of operating environments, all while still maintaining very low power consumption. The module will be available in the 67 x 100 mm form factor that is the trademark of Eurotech's Catalyst platform. With advanced feature specifications for performance, graphics, power, and size, the Catalyst CV is ideal for the next generation of devices in medical, industrial, gaming, digital signage, and automotive markets.

The dual-core Intel Atom processor N2000 series that reside at the core of the Catalyst CV help support CPU speeds of 1.6GHz, DDR3 memory, and I/O capabilities such as USB 2.0, PCIe expansion buses, Ethernet, and wireless. OEMs have a range of operating environments to choose from, including Microsoft Windows 7 and Windows Embedded Compact 7, and Wind River Linux and other general Linux distributions.

Furthermore, with the ability to port Eurotech's Everyware Software Framework (ESF) and Everyware Device Cloud (EDC) services, OEMs will receive a true end-to-end solution that is scalable and portable for an optimized product lifecycle.

"The Catalyst CV platform showcases our low-power expertise without sacrificing any of the capabilities and features customers want from this new Intel Atom processor series," says Haritha Treadway, product manager for Eurotech in North America. "This announcement is another example of our commitment to working with partners like Intel to bring the latest technologies to our customers. Further, with the Catalyst CV OEMs will have a low-power, dual-core hardware platform on which to leverage our end-to-end services such as ESF and EDC."

"The Intel Atom processor N2000 series offers dual-core processing power, a large selection of operating environments, and the next generation of multimedia interfaces," says Jonathan Luse, director of marketing in the Intel Intelligent Systems Group. "The combination of low power consumption and strong graphics performance is ideal for rich media applications running on fanless systems, such as retail point-of-sale devices, digital signage, and medical systems."

The Catalyst CV will be available in Q2 2012. Eurotech will offer application-ready development platforms to help OEMs write their applications quickly and effortlessly.
Eurotech is an Associate member of Intel's Embedded and Communications Alliance, a Microsoft Windows Embedded Silver Partner, and Wind River Linux Strategic Partner.

Tuesday, December 27, 2011

Mentor Graphics amends and restates shareholder rights plan and bylaws

WILSONVILLE, USA: Mentor Graphics Corp. has entered into an Amended and Restated Rights Agreement to renew its shareholder rights plan that would otherwise have expired on December 31, 2011 and also amended and restated the bylaws of the company.

The Amended and Restated Rights Agreement amends the shareholder rights plan previously in effect by (i) extending the expiration date of the plan by 18 months to June 30, 2013, (ii) increasing the exercise price per right from $50 to $65, and (iii) making certain other technical and conforming changes. The Amended and Restated Rights Agreement was not adopted in response to any acquisition proposal.

The amended and restated bylaws were adopted to update the advance notice provisions with respect to stockholder proposals to provide, among other things, greater flexibility for stockholders in response to concerns raised by stockholders in connection with the 2011 Annual Meeting.

The revisions: (i) give stockholders more time to submit business proposals or director nominations by changing the advance notice deadline from 90 days before the anniversary of the prior year annual meeting to 30 days before the anniversary of the prior year proxy statement, (ii) increase from 10 days to 30 days the minimum time period for stockholders to submit business proposals or director nominations after announcement of a change in our annual meeting date, (iii) expand and modify the disclosure stockholders must provide when submitting proposals and nominations at special or annual meetings, and (iv) make certain other technical and conforming changes.

On December 27, 2011, the company filed a Current Report on Form 8-K with the Securities and Exchange Commission that provides summaries of the terms of the Amended and Restated Rights Agreement and the amended and restated bylaws and includes copies of the Amended and Restated Rights Agreement and amended and restated bylaws as exhibits.

2HDec. DDR3 contract price stays flat, 2GB module price at $9 mark

TAIWAN: According to DRAMeXchange, a research division of TrendForce, while the market has entered the traditionally weak PC shipment season, compared with 1HDec., 2HDec. contract price showed a flat price trend. DDR3 4GB and DDR3 2GB ASP was $16.5 and $9.25, respectively.

It is the end of the year, and the long and slow contract price decline that has been taking place since 2H11 is finally showing signs of stabilizing. Average 2Gb chip price stayed at the $0.88 level.

From the market perspective, although there has been no concrete evidence showing that demand will recover significantly in 1Q12, the decrease in shipment volume caused by several DRAM makers’ previous capacity cuts will undoubtedly benefit demand recovery; some PC OEMs have increased their DRAM purchase volume in order to avoid possible supply insufficiency in the near future.

As Chinese New Year is around the corner, January transaction volume is expected to be affected slightly by the decrease in the number of work days. Luckily, the effects of the prior capacity cuts will gradually be seen, and as concerns of the HDD supply shortage slowly dissipate as well, it is likely that DRAM contract price will see a stable price trend in the near future.

2011 in review: Major events in DRAM industry
2011 was a year full of uncertainties for the DRAM industry – not only did several natural disasters affect DRAM supply and demand, but DRAM consumption volume was significantly impacted by a change in the ecosystem: the effect of increasingly popular tablets on the PC industry.

Furthermore, consumers are increasingly seeking thinner, lighter hardware. All of these factors contributed to a significant decrease in DRAM demand, resulting in a severe oversupply situation in the second half of the year. The following is TrendForce’s review of the major events affecting the DRAM industry in 2011:

2011 DRAM contract price fell by 58 percent, spot price decreased by 70 percent
DRAM 2Gb contract price fell by 58 percent in 2011, from a high of $2.13 in May to the current $0.88, while spot price experienced an even larger decrease, falling by 70 percent from $2.32 to $0.7 – a figure nearing the lowest price reached during the global financial crisis of 2008.

Many DRAM makers cut capacity in 2H11
As DRAM chip price plummeted, even to the point of breaking cash cost, many DRAM makers announced capacity cuts in the second half of the year. Capacity was adjusted downward from 1300K starts per month in the beginning of 2011 to around 1030K, a decrease of approximately 21 percent. Taiwanese manufacturers were most severely affected, with a 44 percent capacity decrease.

Next-generation technology migration increasingly difficult, gap between DRAM makers widens
As technology migration requires extensive R&D funding, the gap between DRAM makers is widening as technology migration becomes more complex; Samsung is gradually entering the 20nm node class, while Hynix and Elpida advanced to the 30nm node class in 2H11. Taiwanese manufacturers, however, remain on 40nm and 50nm processes. Lagging so far behind, Taiwanese makers are highly concerned about the future direction of their businesses.

March 11 earthquake in Japan hit DRAM industry upstream supply chain
The impact of the earthquake that hit northeastern Japan on silicon wafer supply, as well as the resulting series of planned electricity blackouts affected the DRAM industry on a global scale. In particular, Shin-Etsu’s Shirakawa-Fukushima plant and SUMCO’s Yamagata-Yonezawa plant were the most severely affected, causing great concern with regard to silicon wafer production. However, supply shortage concerns quickly vanished as silicon wafer makers resumed operations. As a result, after May DRAM price remained on a downtrend for the rest of the year.

Taiwanese DRAM makers gradually exit commodity DRAM market, turning to dedicated foundry and non-commodity DRAM business
As the commodity DRAM market is looking grim, Taiwanese DRAM makers are backing out one by one. ProMOS has made significant production cuts due to financial difficulties and Powerchip has transitioned to foundry and non-commodity DRAM business, while Nanya and Inotera have reduced wafer start volume to make it through the harsh winter.

Thailand flood disaster impacted HDD supply chain, in turn affecting PC shipments and DRAM price
The Thailand flood disaster in 4Q negatively affected HDD shipments – maker Western Digital was most severely impacted. While Seagate and Hitachi were not directly crippled by the flooding, flood-related issues such as local traffic delays resulted in shipment difficulties, causing a global shortage of 10-20 percent.

Luckily, as HDD manufacturers reacted immediately, the recovery process has gone relatively smoothly. TrendForce estimates that the HDD industry will recover completely, with supply returning to normal levels in February 2012.

Monday, December 26, 2011

ST extends industry leadership in energy-efficient power control

GENEVA, SWITZERLAND: STMicroelectronics has broken the worldwide record for high-voltage power MOSFETs with the introduction of a new member of the MDmesh V family, which was already the industry performance leader, boasting the best on-resistance per area for highest efficiency and power density in 650V rating and can now improve a key efficiency metric by more than 23 percent.

This is a giant leap to energy saving typically lost as heat emitted by power-conversion circuitry used in systems such as electronic lighting controls, power supplies for consumer products, and solar-power converters.

“The new record emphasizes and extends ST’s leadership in Super-Junction MOSFETs, of which our MDmesh V represents the latest iteration of ST’s well proven Multi-Drain Mesh technology,” said Maurizio Giudice, Power Transistor Marketing Director for ST. “The enhanced performance will enable customer applications to reduce their energy consumption, underpinning ST’s commitment to provide responsible products for the environment while providing outstanding performance through the design and development of innovative products.”

The recently introduced STW88N65M5 MDmesh V MOSFET features the industry’s lowest on-state resistance for 650V devices in the standard TO-247 package, at 0.029 Ohms. This betters ST’s previous industry benchmark of 0.038 Ohms, also set by an MDmesh V device. This empowers end application designers to increase energy efficiency by directly replacing MOSFETs of higher resistance or to use fewer devices in parallel and so reduce assembly sizes and Bill-Of-Materials (BOM) costs.

The 650V voltage rating of ST’s STW88N65M5 and other MDmesh V devices provides a greater safety margin than that offered by 600V devices from competing manufacturers. This increases the MOSFET’s ability to withstand voltage surges commonly present on AC power lines.

ST’s market-proven MDmesh V technology is available in a vast range of packages including Max247, TO-247, D2PAK, TO-220/FP, PowerFLAT 8x8 HV and I2PAK.

The new STW88N65M5 is available immediately in the TO-247 package, priced at $20.00 for orders of 1000 pieces.

Siano awarded iChina's 'Annual Trusted Brand Award by Customer 2011'

BEIJING, CHINA: Siano, a leading supplier of mobile digital TV receiver chips, has received the prestigious honor of ‘Annual Trusted Brand Award by Customer 2011’, presented by iChina, one of China’s most influential trade media outlets. This year, the award was shared by Siano and Hitachi Data System (HDS).

Since launching in 2008, the ‘iChina Tech’ awards series has become well recognized within the ICT and semiconductor industries. This year, iChina recognized Siano’s consistent valuable contribution to its Chinese customers, including Siano’s dedication to turning high-end technology into cost-effective products. Additionally, the judges recognized Siano's important role as a driving force in promoting China’s CMMB (China Multimedia Mobile Broadcasting) market.

"We highly value this public recognition by the mobile communication industry, and we look forward to building on this trust by introducing new value-added products and services to our Chinese customers," said Wang Wei, Siano China GM.

According to Wang Wei, Siano China's strength is to apply its advanced technical competencies to providing mixed-signal, power efficient solutions for wireless and battery-operated devices. Additionally, Siano's complete line of mobile digital TV (MDTV) solutions push the boundaries in mobile entertainment technology, and provide end-users with enhanced products at lower prices.

Since Siano entered the Chinese market in 2006, the company has established itself as an integral part of China’s rapidly growing mobile TV market. Domestic customers include ZTE, Huawei, Lenovo, and Yulong, and many others. As Wang Wei emphasized, “In 2012, Siano will continue to pioneer the industry’s most cutting-edge technology and leading the growth of the Chinese CMMB market.”

ON Semiconductor enters into $325 million revolving credit facility

PHOENIX, USA: ON Semiconductor Corp. has entered into a senior revolving credit facility with a group of lenders. The facility enables the company to borrow up to $325 million under revolving loans.

The new facility has a five year term that expires in December of 2016. Fees and interest expense under the revolving credit facility can vary based on the company’s total leverage ratio. Based on the company’s current total leverage ratio, the facility is expected to bear interest at LIBOR plus 175 basis points if drawn. If the facility is undrawn, as it is currently, there is a yearly commitment fee of 35 basis points. This can vary as well based on the total leverage ratio.

Under the revolving credit facility, the company is required to maintain a maximum total leverage ratio of less than 3.75 to 1.00 and a minimum interest coverage ratio of 3.50 to 1.00. The company can utilize the borrowings under the facility for areas such as general corporate purposes, working capital and acquisitions.

Further information on the revolving credit facility is contained in the Form 8-K filed by the company.

“We are pleased to secure a $325 million revolving credit facility at attractive interest rates from a core group of lenders,” said Donald Colvin, ON Semiconductor executive VP and CFO. “The facility enhances the credit profile of the company and provides ON Semiconductor with considerable financial flexibility to support our longer term corporate objectives.”

Friday, December 23, 2011

Semiconductors in Asia-Pacific

DUBLIN, IRELAND: Research and Markets has announced the addition of MarketLine's "Semiconductors in Asia-Pacific" report to its offering.

Semiconductors in Asia-Pacific industry profile provides top-line qualitative and quantitative summary information including: market share, market size (value 2006-10, and forecast to 2015). The profile also contains descriptions of the leading players including key financial metrics and analysis of competitive pressures within the market. Essential resource for top-line data and analysis covering the Asia-Pacific semiconductors market. Includes market size and segmentation data, textual and graphical analysis of market growth trends, leading companies and macroeconomic information.

Highlights
* The semiconductor market consists of the manufacture and sale of semiconductors and related products. The market value refers to the sale of semiconductors at manufacturers sales price (MSP).
* The Asia-Pacific semiconductors market had total revenues of $227.6 billion in 2010, representing a CAGR of 2.7 percent between 2006 and 2010.
* The integrated segment was the market's most lucrative in 2010, with total revenues of $170.1 billion, equivalent to 74.8% of the market's overall value.
* The performance of the market is forecast to accelerate, with an anticipated CAGR of 5.8 percent for the five-year period 2010-2015, which is expected to drive the market to a value of $301.2 billion by the end of 2015.

Rambus and Broadcom sign patent license agreement

SUNNYVALE, USA: Rambus Inc. has signed a patent license agreement with Broadcom Corporation (NASDAQ:BRCM). This agreement covers the use of Rambus patented innovations in a broad range of integrated circuit (IC) products offered by Broadcom.

In addition, the two companies have settled all outstanding claims, including resolution of past use of Rambus’ patented innovations. The term of this patent license agreement is five years. Other terms of the agreement are confidential.

“We are pleased to have reached this agreement with Broadcom, a global leader in the semiconductor industry,” said Sharon Holt, senior VP and GM, Semiconductor Business Group at Rambus. “We are committed to continuing the development of innovative technologies to help our licensees deliver great products to the market.”

Aehr Test Systems receives high-power burn-in system order

FREMONT, USA: Aehr Test Systems, a worldwide supplier of semiconductor test and burn-in equipment, announced receipt of an order in excess of $1 million for its advanced ABTS high power burn-in system from one of the world's largest semiconductor manufacturers. The system is expected to ship this fiscal year.

Rhea Posedel, chairman and CEO, Aehr Test Systems, said: "We are encouraged because this is a major account win for our advanced ABTS logic burn-in system. Importantly, we hope that this could lead to additional system orders for new device qualifications."

"We believe that this customer purchased the ABTS system because it is a cost effective solution for high power logic burn in requiring individual temperature control per device" said Carl Buck, VP of sales and marketing of Aehr Test Systems.

"The ABTS system's 256 universal pin drivers and pattern generator per burn-in board are designed to make it an ideal tool for reliability testing and burn-in of the latest technology mobile processors and microprocessors."

The ABTS family of products is based on a new hardware and software architecture that is designed to address not only today's devices, but also future devices for many years to come. It is designed to test and burn-in memory as well as both high-power logic and low-power logic in addition to high pin count logic. It can be configured to provide individual device temperature control for devices up to 70 watts or more and it uses N+1 redundancy technology for many key components in the system to provide the highest possible system uptime.

Thursday, December 22, 2011

Atom bomb doesn’t detonate Intel’s microprocessor business in Q3

EL SEGUNDO, USA: Despite a plunge in sales of its Atom chips destined for the moribund netbook market, Intel Corp. in the third quarter managed to expand its leadership position in the global microprocessor business on the strength of its corporate sales.

Intel in the third quarter accounted for 83.7 percent of global microprocessor revenue, up 1.2 percentage points from the 82.5 percent it held in the second quarter of 2011, according to the latest IHS iSuppli Computer Systems research. The company expanded its lead over No. 2 microprocessor supplier Advanced Micro Devices Inc. to 73.5 percentage points in the third quarter, up from 72 percent in the second quarter.

The table presents the IHS ranking of the world’s top microprocessor suppliers.Source: IHS iSuppli, USA.

The market share numbers presented in this release consist of the entire global microprocessor market, including X86, RISC, and other types of general-purpose microprocessors. This data is not limited to the X86 chips used in the PC market, although these types of devices represent the vast majority of shipments.

Intel’s performance in the third quarter was the result of a mix of positive and negative developments related to the transformative impact of media tablets on the computer market.

“The boom in media tablet sales has packed both upsides and downsides for Intel—hurting its business in netbook microprocessors—but boosting its sales of chips used in data centers to support cloud computing,” said Matthew Wilkins, principal analyst for compute platforms research at IHS.

“Because of its broad product line that addresses both the consumer and business side of the microprocessor business, Intel in the third quarter managed to outperform the overall market. Even with the company warning that its fourth-quarter revenue will fall short of expectations, the company still is expected to expand its lead in the global semiconductor market based on its strong performance in the third quarter and the rest of the year.”

Net declines for netbooks
After enjoying double-digit shipment growth from 2008 through 2010, the netbook market commenced a decline in 2011 as consumers turned toward media tablets. Global netbook shipments are set to weaken to 21.4 million units in 2011, down 33.5 percent from 32 million in 2010. Shipments are expected to continue to decrease during the coming years and will dwindle to 13.4 million units in 2015.

This has come as a downside for Intel, whose Atom microprocessors powered the majority of netbook PCs. Intel said its Atom microprocessor and chipset revenue in the third quarter fell 32 percent compared to the year earlier.

Up in the cloud
On the other hand, Intel said it saw continued strength in the third quarter from the sales of microprocessors for use in data centers because of rising demand from the mobile and cloud computing markets.

The cloud computing market is heading into the stratosphere as companies seek to offer services designed to serve tablets, smartphones and other mobile devices. Consumer and enterprise spending on the public segment of the cloud is projected to surge to $110 billion in 2015, up from $23 billion in 2010.

Intel also said it benefited from strong notebook sales. Many of these sales were to the corporate market, which continues to perform well even amid challenging circumstances in the consumer PC segment.

Thailand impact
Intel this month warned its fourth-quarter results are expected to fall short of the company's previous outlook due to hard disk drive (HDD) supply shortages spurred by the Thailand flood.

Short supplies of HDDs in the fourth quarter will result in PC shipments failing to meet expectations in the first quarter of 2012. IHS predicts that worldwide PC shipments in the first quarter of 2012 will amount to 84.2 million units, compared to the earlier forecast of 88 million.

This is taking a toll on Intel, which in the fourth quarter is making microprocessors set to be used in PCs shipping during the first quarter.

Nevertheless, IHS continues to predict that Intel will expand its leadership position in the global semiconductor market for the entire year of 2011, based on strong shipments of microprocessors in the third quarter and the rest of the year.

IHS recently predicted that Intel in 2011 will increase its lead in the total semiconductor market over second-ranked Samsung Electronics Co. Ltd. to 6.5 percentage points, up from a 3.9-point difference in 2010.

No advance for Advanced Micro Devices
AMD’s market share was largely unchanged in the third quarter, with a slight 0.3 percentage point decline from the second quarter. The company accounted for 10.2 percent of worldwide microprocessor market revenue, down from 10.5 percent in the second quarter.

Source: IHS iSuppli, USA.

Comparative analysis of 23 MEMS devices from 13 different manufacturers

LYON, FRANCE: Yole Développement announced its report “Technology Trends for Inertial MEMS”. Yole Développement’s analysis is composed of two volumes: Volume 1 is dedicated to the market & technological evolutions of inertial MEMS & magnetometers. The 2nd part, Volume 2 is the reverse costing analysis.

In this report, Yole Développement provides an understanding of the market drivers for inertial MEMS (Consumer, automotive and high-end applications). It also presents trends about packaging and tests strategies. This analysis includes 23 MEMS devices.Source: Yole Développement, France.

Major market drivers and technical answers in inertial MEMS and magnetometers
Over the last three years, inertial MEMS and magnetometers have been subject to dramatic market & technological evolutions. This has been driven by a large increase of the consumer market: mobile phones and tablets for accelerometers; gaming for gyros; mobile phones for magnetometers.

Along with “stand-alone” MEMS devices, inertial combo sensors, a combination of several inertial sensors into a single package, are also coming. Main applications are consumer (e.g. accelerometer with magnetometer or accelerometer with gyro) and automotive for ESC and rollover functions first.

“Inertial MEMS & magnetometers are today driven by four major market trends,” said Laurent Robin, Activity Leader, Inertial MEMS Devices & Technologies at Yole. They are:
* Future generation of sensors will deliver functions and will become “solutions”.
* Fusion of sensors (combining data from different sensors) is starting to be widely used.
* New architectures are developed.
* Price pressure is still very strong (5 percent drop per quarter for consumer applications).

On the technical side, form factor is ever decreasing with reduced footprint and thickness. And power consumption has been reduced to a few microA while performances are still increasing. The most successful type for inertial MEMS is based on capacitive transduction.

Reasons are simplicity of the sensor element, no requirement for exotic materials, low power consumption and good stability over temperature. But will comb-drive architecture for accelerometers continue to be the main detection principle as MEMS die size keeps shrinking?

Regarding gyroscopes, most are falling into the categories of tuning vibrating fork/plate (STM, Bosch) or vibrating shells (Silicon Sensing Systems). This very common design gives ease of fabrication and possible integration in standard IC manufacturing industry.

For magnetometers, Hall Effect has been the dominant technology for a long time, but today it is changing as Magneto Impedance, Giant Magneto Resistance and Anisotropic Magneto Resistance are used.

A new approach, Lorentz effect based on MEMS technology, is currently in R&D (VTT and others). This could bring easier integration in MEMS combo sensors.

MEMS testing will have to evolve
“Testing has been also subject to strong evolution over the last years”, announces Dr. Eric Mounier, Senior Analyst, MEMS Devices & Technologies at Yole Développement. For example, combo sensors will require new test solutions compared to “stand-alone” sensors.

Beyond the usual wafer-level electrical test and package-level electrical and mechanical or functional testing, these sensor combos will need module level testing and calibration of the combined sensors. If they include an MCU in the package, the communication between the sensors and the MCU will also need to be tested.

Solutions need to be cost effective with high throughput to test multiple axes of multiple devices, either in parallel or in separate modules, rather like separate chambers in IC equipment.

The world of MEMS testing has moved in the last several years from internal development at MEMS makers to co-development with test suppliers to commercial off the-shelf equipment. So combo solutions that can test all axes of the module in a single tool for higher throughput will also likely be co-developed with the test equipment suppliers and available commercially.

Assembly and test houses may also start to offer these test services on an outsource basis for fabless or fab-light MEMS makers. The Yole Développement report will analyze the latest trends in MEMS testing.

Comparative analysis of 23 MEMS devices from 13 different manufacturers!
In order to understand the key evolutionary changes, a total of 23 different MEMS devices (9 accelerometers, 10 gyros, 3 combos and 1 magnetometer) - mostly consumer MEMS – have been disassembled, analyzed and cost simulations have been constructed for MEMS, ASIC and Packaging/Test. One of the key features of the reports is that ASICs have been analyzed as well.

The MEMS have been analyzed and production costs have been simulated by System Plus Consulting, the reverse costing specialist company. The teardown analysis results have been compared in terms of performance, total cost, MEMS size, ASIC lithography node, ASIC size, package size, year for market introduction.

From its analysis, Yole Développement found there is a clear MEMS die size decrease over 2007-2011. For example, in 2008, the average size for an accelerometer (3- axis) was 4-5 mm². 3 years later, size is about 2 mm². ASIC size has been following the same trend with a lithography node in the range 0.18-0.35μ today.

“With latest ST announcement about the use of through silicon vias for inertial, we can expect even lower cost and size in the future,” says Laurent Robin. The same analysis has been performed for gyros comps, combos and magnetometers.

LSI announces industry's first 28nm read channel for HDD manufacturers

MILPITAS, USA: LSI Corp. is demonstrating to OEM customers the TrueStore RC5100 read channel for hard disk drives (HDD). The RC5100 is the industry's first 28nm read channel and features a new low-density parity check (LDPC) iterative decoding architecture, which enables HDD manufacturers to achieve increased areal density, higher yield and lower power consumption for HDDs.

A critical piece of technology for increasing the capacity of hard drives, a read channel translates the magnetically encoded information on the hard disk drive to electronic signals that can be understood by the host computer.

"The amount of digital data being generated worldwide continues to grow at an exponential rate, creating new storage challenges for client and enterprise customers alike," said Phil Brace, senior vice president and general manager, Storage Peripherals Division, LSI. "We pride ourselves on being first to market with new process technology that extends HDD capacity, accelerates performance and provides our HDD OEM customers with a time-to-market advantage."

The RC5100 is designed to support notebook, desktop and enterprise HDDs. It supports low power management features, which are critical to extending battery life in notebooks and to reducing energy costs in datacenters. The RC5100 also supports advanced new technologies such as shingled magnetic recording (SMR), a key technology for achieving next-generation areal density points.

"LSI has a consistent history of innovation," said Rick Cassidy, president of TSMC North America. "This 28nm collaboration with TSMC is a continuation of that proud lineage."

The RC5100 is the latest addition to the LSI TrueStore family of ICs for hard disk, solid-state disk and tape devices, including highly integrated storage system-on-a-chip (SoC), read channels, preamplifiers, serial PHYs and hard disk drive controller IP. Together with TrueStore preamplifiers and serial PHYs, the RC5100 creates a complete data path solution for HDD manufacturers.

The TrueStore RC5100 is expected to be available to OEM customers in the first calendar quarter of 2012.

Intel announces new MD, South Asia Sales & Marketing

BANGALORE INDIA: Intel announced that R. Sivakumar will be moving to a new role within Intel and the appointment of Ms. Debjani Ghosh to the role of managing director for its Sales and Marketing organization in South Asia. The change is effective January 1. Ms. Ghosh will be based in New Delhi.

During Siva’s more than five years of leadership, Intel’s Sales and Marketing organization in South Asia oversaw a doubling of PC penetration in India1. Siva has been a tireless voice in making the case for expanding India’s broadband infrastructure.

“I’ve twice had the privilege of working in this exciting region during my career at Intel,” said Sivakumar. “I am confident that under Debjani’s leadership Intel will continue to connect more South Asians to exciting opportunities through technology. We are fortunate to have a strong and stable leadership team with a tremendous vision for growing this market and an execution track record that’s the gold standard in the industry. I have great confidence in what the future holds for this team as Debjani takes over the rein.”

Ms. Ghosh, a native of Delhi, has been with Intel for 17 years. Her most recent role was Director of Sales and Marketing, South East Asia. “I am excited about this opportunity and look forward to coming home to India,” said Ms. Ghosh. “I also look forward to working with governments and industry to advance our shared objectives of increasing opportunities through technology.” she concluded.

Altair’s PBS Works to optimize software for Intel MIC architecture

AUSTRALIA & INDIA: Altair Engineering, a leading global provider of simulation and advanced computing software solutions, announced that PBS Works has partnered with Intel Corp. to optimize its software for future Intel Many Integrated Core (Intel MIC) products .

The strategic partnership was formed to proactively ensure that as the first commercially available Intel MIC product, codenamed “Knights Corner,” is launched broadly, PBS Professional will be able to seamlessly schedule jobs onto both Intel Xeon processors and Intel MIC co-processors without requiring additional technical support.

“Altair and Intel have worked closely together for many years and it is an honor to be part of this important development phase with Intel MIC,” said Bill Nitzberg, CTO of PBS Works. “We are confident that the insight and expertise we have provided to Intel on hardware and software development environments, specifically those related to optimizing workload scheduling and management in the HPC environment, will ensure Altair’s PBS Works and HyperWorks customers will be ready for Intel MIC when it launches.”

Intel has provided Altair early access to the Intel MIC software development platform, codenamed “Knights Ferry,” and early versions of the software stack. The advance integration efforts between the two companies will help ensure seamless integration of PBS Professional, Altair’s EAL3+ security certified commercial-grade high-performance computing (HPC) workload management solution.

Key benefits of optimizing PBS Works for Intel MIC include:
• Access to Altair solvers, beginning with RADIOSS, enabling Intel customers to take advantage of the advances in speed, performance and capability that Intel MIC architecture can bring.
• Access to PBS Professional, allowing organizations to maximize efficient use of their computing resources and to rapidly initiate HPC jobs, improving overall productivity and return on investment.

“The ‘Knights Ferry’ program allows us to gain valuable insight from our partners and ensures that Intel MIC architecture, the latest breakthrough in high performance computing, has full software support for a variety of usage models when it launches,” said Paresh Pattani, Intel’s director of HPC and Many Core computing. “We are pleased to partner with Altair to enable PBS Works, a key ingredient for HPC workload management.”

Cosmic Circuits concludes 4th edition of Nebula nation-wide design contest

BANGALORE, INDIA & CAMPBELL, USA: Cosmic Circuits concluded the 4th edition of its nation-wide design contest, Nebula at its design centre in Bangalore on the 17th of December. Spread over three rounds, the design contest attracted over 1,000 contestants from colleges across the country.

In the final round, the contestants were introduced to specific design problems – the background for these problems had already been set in the second round. With engineers from Cosmic Circuits available for discussion, the finalists were encouraged to try out their ideas and put together solutions to address the challenges.

Following the contest, the contestants were shown a lab demonstration of Cosmic's solutions for these problems. Prize distribution was held in the evening, with the finalists getting an opportunity to interact with the founders and senior management of Cosmic over dinner.

Maruthi M from NITK, Surathkal won the first prize. Vineeth Anavangot from IIT, Mumbai won the second prize while Prashant Mohan from IISc, Bangalore and J. N. Karthik from NITK, Surathkal shared the third prize.

Renesas Electronics announces change in schedule for transfer of its HPA business to Murata Manufacturing

TOKYO, JAPAN: As announced in the release, “Renesas Electronics Reaches a Definitive Agreement to Transfer its High-Power Amplifier Business to Murata Manufacturing” dated October 31, 2011, Renesas Electronics, a premier supplier of advanced semiconductor solutions, and Murata Manufacturing Co. Ltd, the world’s number one supplier of passive electronic components, signed a definitive agreement (DA) to transfer Renesas’ high-power amplifier (HPA) business and the business operation of its manufacturing site, the Nagano Device Division (Komoro, Nagano) of Renesas Eastern Japan Semiconductor Inc., a wholly-owned subsidiary of Renesas Electronics, to Murata Manufacturing.

The completion of the business transfer, originally scheduled for February 1, 2012, is now expected to take place by March 1, 2012. This extension was necessary due to the ongoing antitrust investigations outside of Japan.

Surging smart meter shipments spur soaring semiconductor sales

EL SEGUNDO, USA: Because of their capability to save energy and to improve the efficiency of electrical grids, power utilities are expected to rapidly adopt smart electricity meters, causing global shipments to triple from 2011 to 2016—and spurring the doubling of the associated semiconductor market during the same period.

Worldwide smart meter shipments are set to rise to 62 million units in 2016, up from 20.5 million in 2011, according to the IHS iSuppli Industrial Electronics Service. Global sales of semiconductors used in these smart meters are projected to grow to $1.1 billion in 2016, up from $505.6 million in 2011. The figure presents the IHS forecast of global smart meter shipments and semiconductor revenue.Source: IHS iSuppli, USA.

“The original motivation for replacing conventional meters with smart meters was energy savings,” said Jacobo Carrasco Heres, industrial electronics research analyst for IHS. “However, a more compelling incentive is the instrumentation of the grid. With the use of smart meters, utilities finally will have a well-mapped grid that will enable them to plan electrical generation and manage their resources more efficiently.”

The rollout of smart meters is being propelled by government support and regulations. For example, in the United States, stimulus money from the Smart Grid Investment Grant (SGIG) program is driving the replacement of conventional meters with new smart models. Meanwhile, the European Union is targeting an 80 percent conversion to smart meters by 2020, representing shipments of 180 million units.

Smart promotion of smart meters
Despite these efforts and the rapid growth of smart meter shipments in the coming years, deployments actually are progressing more slowly than had been expected from a few years ago.

One factor slowing market growth is a lack of money. Amid current economic conditions, investments in smart grids and smart meters are falling short of expectations in many cases.

Another factor is consumer acceptance: The deployment of smart meters alone may not be sufficient to convince consumers that these devices are desirable. Instead, smart meters should be paired with services that deliver more value to consumers, such as a dashboard that shows the electricity consumption of appliances and other devices.

Combining smart meters with smart home features could represent a great opportunity for telecommunications companies. Sales of devices supporting these features will drive additional sales of semiconductors.

Smart chips for smart meters
The burgeoning smart meter market will drive the rise of a large associated semiconductor business, with logic integrated circuits (ICs)—mainly metrology ICs and communications ICs—contributing most to the cost of smart meters. The next biggest contributors are microcomponents, such as microcontrollers, digital signal processors and microprocessors.

Smart meters also are making greater use of system on chip (SOC) devices, which will integrate most of the functionality of the product into a single device.

Both smart meter shipments and the market for smart meter semiconductors will continue to expand in the coming years. Revenue in both areas, however, will flatten starting in 2015.

Source: IHS iSuppli, USA.

Quantance single-chip, envelope tracking solution delivers 100 times faster performance

SAN MATEO, USA: Quantance Inc., manufacturer of ultra-fast 4G/LTE envelope tracking (ET) power supplies, announced the Q845 ET power supply that enables power amplifier (PA) efficiency to reach theoretical limits and dramatically increases PA peak transmit power.

This single-chip product is the third generation implementation of the company’s qBoost technology, which provides the response time equivalent of a 400MHz switcher for ET systems, over 100 times faster than any other mobile ET power supply solution available today. This ultra-fast performance, along with a more streamlined architecture and a tiny chip footprint, sets a new industry standard for size, cost and integration simplicity.

The Q845 utilizes the MIPI Alliance ET control and envelope interfaces, enabling it to be openly and seamlessly integrated into any 4G/LTE baseband and transceiver chipset platform targeted for use in tablets, smartphones, data cards and other mobile high-speed data devices. The Q845 can be used for ET in these devices to dramatically enhance PA efficiency and increase PA peak power to ensure robust 4G/LTE operation, including faster data speeds, reduced battery current and extended data coverage.

The need for effective ET solutions in chipset reference designs has grown dramatically in the past year, in direct relation to the explosive growth in high-speed data and the mass-market deployment of LTE devices. ET solutions provide the 4G/LTE PA efficiency and transmit power improvements critical to ensure LTE performance, and many chipset providers are already planning to integrate ET solutions in their latest platforms.

“When we architected the Q845, we combined Quantance’s unique patented approach to ultra fast power supply design into a smaller, more efficient package, and made sure we focused on industry standards compatibility,” said Vikas Vinayak, Quantance’s CEO and co-founder. “The new design will integrate nicely into baseband and chipset reference designs, enabling those companies to provide a proven ET solution that gives the best possible PA efficiency and highest PA transmit power output available in the industry today.”

The Q845 uses a patented combination of switching and linear power supplies to efficiently deliver a precisely controlled voltage to the PA, thus optimizing its performance. For increased peak power and high power efficiency, Q845 operates in ET mode, changing the PA voltage instantaneously to track the modulation envelope of the transmitted signal. To maintain efficiency in mid- and low-power PA operation, the Q845 transitions seamlessly to its average power tracking (APT) mode, where the voltage is changed at a slower rate, yet still maintains the PA at its peak efficiency.

Evaluation kits are available today. Sampling begins in Q1 2012.

Wednesday, December 21, 2011

Tuxera delivers complete interoperable file system package to TI's OMAP platform customers

HELSINKI, FINLAND: Tuxera Inc., the leading provider of Windows-compatible file systems for Android, Linux, Mac and other platforms, has announced cross-platform interoperability with the OMAP smart multicore applications processors from Texas Instruments Inc. (TI).

Further establishing its commitment to continued optimization on the OMAP platform, Tuxera also announced it has joined TI's Developer Network. In the first phase, Tuxera exFAT, NTFS and HFS+ file systems have been successfully integrated, optimized and tested on the latest OMAP 4 processors.

Tuxera's mission is to make sure that any TI OMAP developer can build devices that have high-performance, built-in interoperability across ecosystems from Android phones and tablets to Windows and Mac PCs. This effort ensures that any developer working on the OMAP platform can bring new features and capabilities to mobile phones and other portable and converged multimedia electronics. With Tuxera solutions inside, consumers experience plug-and-play interoperability with their latest generation SD memory cards, USB hard drives and other portable media.

"As embedded experts, Tuxera brings tighter integration of hardware and software to new devices, reaching the highest possible performance without sacrificing interoperability, reliability and battery life," said Mikko Välimäki, Tuxera CEO. "With Tuxera's award-winning file system interoperability package OMAP developers have the confidence of a trusted solution to build robust interoperable devices with less time to market."

"Tuxera's innovative file system solutions offer consumers very fast and hassle-free connectivity to high-capacity SDXC memory cards as well as Windows and Mac formatted USB storages," said Fred Cohen, director, OMAP user experience team, TI. "Together with the OMAP platform's high performance at ultra-low power, consumers can store and enjoy their growing media libraries on ever larger portable storages for significantly longer periods of time."

Tuxera NTFS, exFAT and HFS+ are now available for current and future TI OMAP customers.

FTDI expands Vinculum-II precompiled firmware and source code offering

GLASGOW, UK: USB solutions specialist Future Technology Devices International Ltd (FTDI) has introduced additional elements to its family of precompiled, bridging ROM files that support its Vinculum-II (VNC2) USB host/device controller ICs.

These files can be loaded directly into a VNC2 IC and utilised by its 16-bit microcontroller core in order to perform basic data transfer operations between common interfaces. Interface options include SPI, UART, USB host and USB device, with data operations such as mass storage, human interface devices, and communication device class. This provides engineers with off-the-shelf software capabilities that can be immediately implemented - enabling shorter development time and resulting in faster time to market.

The new ROM files from FTDI are:

• A SPI master to UART sample application ROM – which demonstrates the bridging of a VNC2 UART to the VNC2 SPI master for controlling SPI slave devices. Data is transferrable in both directions.
• A SPI slave to USB memory bridge sample application ROM – which demonstrates the bridging of a USB memory (Flash drive) device present on the VNC2 USB host port to a SPI interface.
• A SPI master to USB human interface device (HID) sample application ROM - which demonstrates the bridging of a USB HID class device (such as a keyboard or a mouse) present on the VNC2 USB host port to a SPI interface.
• A UART to communication device class (CDC) modem sample application ROM - which demonstrates the bridging of a CDC device present on the VNC2 USB host port to a UART interface, with data transferrable in both directions.
• A UART to FT232 host sample application ROM - which demonstrates the bridging of a FT232/FTxxx class device present on the VNC2 USB host port to a UART interface.
• A UART to USB HID Class Host Sample Application ROM - which demonstrates the bridging of a HID class device present on the VNC2 USB host port to a UART interface.
• A UART to USB Memory Sample Application ROM - which demonstrates the bridging of a USB memory device present on the VNC2 USB host port to a UART interface.

Each one of the precompiled ROM files is accompanied by the source code, to allow users to modify and expand upon the reference software. Complete documentation and application notes are also included, which provide engineers the context of the implementation. Further ROM files are in the process of being developed and will be released in the near future. Should a specific combination of interface and application be required, FTDI is accepting inputs for its next round of development.

Dr. Irwin Jacobs to retire from Qualcomm's board of directors

SAN DIEGO, USA: Qualcomm Inc. announced that Dr. Irwin Mark Jacobs will complete his current term on Qualcomm's Board of Directors and will retire from the Board at the 2012 annual stockholder meeting. In recognition of Dr. Irwin Jacobs' exceptional achievements with the Company, the Board has conferred upon him the title of

Founding Chairman and CEO Emeritus, effective upon conclusion of his Board service at the annual meeting.

"When we first started Qualcomm, I told my wife Joan that, if we were lucky, we might grow to 100 employees," said Dr. Irwin Jacobs. "We clearly have surpassed that. I am immensely proud of the company we have built, its more than 22,000 employees, and the impact Qualcomm has made on the telecom industry and the lives of mobile users worldwide. I leave knowing that Qualcomm's strong management team and technical roadmap will ensure the Company's continuing success."

"As a father, university professor and business leader, Irwin inspired generations of engineers, including me, to think beyond what is possible today and pursue the technology breakthroughs of tomorrow," said Dr. Paul E. Jacobs, chairman and CEO of Qualcomm. "Qualcomm's business model and culture of innovation are due to Irwin's vision and leadership. He continues to inspire all of us here at Qualcomm as we pioneer the next generation of mobile technologies. On behalf of all of our employees around the globe, we thank Irwin for his leadership, creativity, enthusiasm and integrity."

Dr. Irwin Jacobs served as CEO from Qualcomm's founding on July 1, 1985 to its 20th anniversary, June 30, 2005 and as chairman from founding until March 2009. He and his six co-founders established Qualcomm with the goal of creating a company that could innovate rapidly and develop commercial solutions to some of the communication industry's most challenging problems. As CEO, Dr. Irwin Jacobs oversaw Qualcomm's revolutionary innovations in Code Division Multiple Access (CDMA), a technology fundamental to today's 3G mobile wireless standards.

Previously, Dr. Irwin Jacobs co-founded and led Linkabit, an influential development and manufacturing company whose cutting-edge approach to technology ultimately made it an incubator to more than 100 other technology companies in San Diego. Linkabit was sold to M/A-Com in August 1980 and Dr. Jacobs remained as executive VP of M/A-Com until April 1, 1985. He was also a faculty member of electrical engineering at Massachusetts Institute of Technology (1959-1966) and at the University of California, San Diego (1966-1972).

Dr. Irwin Jacobs is currently chair of the Salk Institute for Biological Studies and of the National Academy of Engineering. He has received many industry, education and business accolades, including the National Medal of Technology in 1994, the IEEE Alexander Graham Bell medal in 1995, the Financial Times Lifetime Achievement award for 25 years in Telecommunications in 2005, the IEEE and Royal Society of Edinburgh James Clerk Maxwell Award in 2007, and the Marconi Society Prize in 2011. He is a fellow of the American Academy of Arts and Sciences and the American Association for the Advancement of Science.

Tuesday, December 20, 2011

Sales of Amkor's next gen through mold via package-on-package solutions surpass 100 million units

CHANDLER, USA: Amkor Technology Inc., a leading provider of semiconductor assembly and test services, announced that its innovative Through Mold Via (TMV) Package-on-Package (PoP) solutions have surpassed 100 million units shipped.

“This is a significant milestone for a technology we launched just last year,” said Mike Lamble, Amkor’s executive VP, worldwide sales and product management. “Today, most smartphones and tablets utilize PoP for CPU and memory stacking. With the higher integration and density trends in these devices, we are seeing a rapid transition to TMV PoP solutions.”

TMV PoP is a key enabling technology in smartphone and tablet applications, delivering increased integration, miniaturization and performance without requiring the development of new surface mount stacking infrastructure or adding cost. This technology improves warpage control, reduces package thickness, facilitates finer pitch memory interfaces, enables both wirebond and flip chip interconnects, and supports stacked die or passive integration requirements.

SEMATECH’S 3D enablement center focuses on addressing challenges facing future high volume 3D apps

ALBANY, USA: SEMATECH’s 3D Enablement Center (3D EC), together with the Semiconductor Industry Association (SIA) and Semiconductor Research Corporation (SRC), has identified the top technical challenges for new “killer” applications to enable future development of heterogeneous 3D integration beyond mobile wide I/O DRAM.

Following the introduction of the wide I/O DRAM, further research and development of 3D IC technology will be driven by high demand, high volume applications that continue to demonstrate the benefits of 3D integration, including lower power consumption, higher performance, increased functionality, and lower cost.

Since January 2011, SEMATECH’s 3D EC has spearheaded the development of standards and specifications for 3D. To prepare for the future 3D integration ICs and systems, the 3D EC is identifying three- to five-year window killer applications and the common technical challenges they pose to smoothly extend 3D technology beyond mobile wide I/O DRAM.

Discussions with expert university professors and diverse users, including the 3D EC member companies and SRC, have identified heterogeneous computing, memory, imaging, smart sensor systems, communication switches, and power delivery/conditioning as some of the potential future killer applications. Additionally, the common technical challenges associated with these applications have been prioritized: lowering the cost of 3D architectures, system/architecture pathfinding, generic heterogeneous multi-die stack testing issues, and thermal management.

“Tackling the common challenges of next generation applications is critical for acceleration of the broad adoption of 3D ICs,” said Dan Armbrust, president and CEO of SEMATECH. “SEMATECH’s 3D Enablement Center’s goal in the next phase of this broad based collaborative program is to provide the enabling infrastructure to address these common technical challenges.”

“SRC has extensive university programs and expertise, and we are eager to employ these resources and help the industry address such challenges as lowering the cost of 3D structures, thermal management concerns and complex testing issues,” said SRC president, Larry Sumney. “Combined with SEMATECH’s existing efforts in developing 3D technologies, we will pursue an ambitious effort to enable the commercialization of 3D ICs.”

“The development of 3D integration technology is at an inflection point,” said Brian Toohey, president of the Semiconductor Industry Association (SIA). “The industry has experienced the benefits of collaboration in many efforts, from the semiconductor roadmap to finding the next switch. This evolving partnership aims at taking 3D integration technology to the next step – to fully realize its significant potential for semiconductor manufacturing and design.”

SEMATECH's 3D Enablement Center is a cooperative effort among members of the program, the SIA, and the SRC. Since its launch in December 2010, the center has focused on enabling industry-wide ecosystem readiness for cost-effective TSV-based 3D stacked IC solutions. The initial set of activities encompassed working with critical standards development organizations to set 3D standards, especially for inspection/metrology and bump metallurgy. During 2011, the 3D EC expanded its efforts to include activities that would address pathfinding electronic design automation (EDA) tools and complex test vehicles.

Membership is open to international fabless, fab-lite, and IDM companies; outsourced semiconductor assembly and test (OSAT) suppliers; and EDA process tool and materials suppliers. In addition, the program and its members are collaborating with a broad network of companies, consortia, universities, national laboratories, and associations from around the world.

2011 market value of ‘fan-in’ WLCSP close to $1.7 billion

LYON, FRANCE: Yole Développement announced its report “WLCSP Market & Industrial Trends”. High growth rate, different technologies – thus different players – are the main trends identified by Yole Développement.

Growth rate is high, but the market shows early signs of maturity
Many “WLP” technologies are now cruising at a very high altitude. This is serious business: over 23 billion units packaged with ‘fan-in’ as we may call it are expected to sell and be mounted in smartphones, tablet PCs or other mobile devices in 2011.

Size reduction and cost, remain the main drivers for adoption of this technology. If ‘fan-in’ WLCSP already reached high penetration rates in mobile applications for connectivity (Bluetooth + WLAN + FM combos, GPS) and analog ICs (DC/DC converters, LDOs, ESD/EMI protection devices), it is still growing fast for some other IC types as well as in MEMS and sensors.

Reaching 2.3 million 300mm equivalent ‘fan-in’ WLCSP wafers in 2011 for a total market size of $1.7 billion
The average size of a ‘fan-in’ WLCSP device tended to increase over the past two years, with many “connectivity” devices reaching sizes of more than 30mm². “In 2011, more than 2.3 million 300mm equivalent ‘fan-in’ WLCSP wafers will be processed for the first time,” estimates Jean-Marc Yannou, senior analyst, Advanced Packaging at Yole Développement.

“All steps compounded (wafer level, die level and test), we estimate that the 2011 market value of ‘fan-in’ WLCSP is close to $ 1.7 billion.”

Prices keep on decreasing, but market growth rate expected to stay high at 12 percent over 2010-2016 time period
Although costs and prices are decreasing as the technology and its market mature, the market value growth rate for the 2010-2016 timeframe is still expected around 12 percent, 4 points higher than semiconductor packaging in general. However, this is lower than the 22 percent 2008-2013 growth rate Yole Développement had computed for the same market two years ago.

Costs kept on decreasing as the capacity shortage on 12-inch diameter wafers ended and process flows were standardized and simplified. While technologies have improved to the point that the maximum possible die size could be extended, it is not all clear skies for ‘fan-in’ WLCSP as the fallout of Nokia, WLCSP’s historical biggest supporter, on the handsets market continues, and some tier two handset manufacturers remain reluctant to adopt the technology.Source: Yole Développement, France.

Changing paradigms: future growth will be driven by different devices, based on different technologies
Looming over the horizon is the threat of the CMOS 28nm node technology with such a high IO density that some package with a “fan-out area” will be needed anyhow. Hopefully, a significant part of the ‘fan-in’ WLCSP market still relies on the analog ICs on the one hand, using older technologies, and on MEMS and sensors (particularly CMOS image sensors) on the other hand. This latter device type is expected to be a high potential growth application for fan-in WLCSP in the coming five years.

Yole Développement expects a tremendous growth of up to 25 percent for MEMS and sensors with WLCSP over the next five years. All in all, ‘fan-in’ WLCSP shows the first early signs of a maturing market with price pressure process standardization, but it still grows faster than the average semiconductor packaging market due to the fast growth rates of smartphones and tablet PCs in which WLCSP considerably helps save space and reduce costs.

High margins are attracting new player types with diverse business
The supply chain is still primarily led by OSATs and IDMs, but the original “Wafer bumping houses” and “wafer packaging houses” take a significant share of the market too. All the major OSATs invested in 300mm WLCSP capacity over the past two years. TSMC recently announced their intention to step in this market too, possibly opening the way to other foundries.

In this WLCSP 2011 report, you will find detailed technical and market status and forecasts on WLCSP technologies and applications. Market forecasts and growth rates are provided based on device units and wafers for each market segment over the 2010-2016 timeframe. Market value forecasts in dollars are given over the same period of time.

Based on Yole Développement’s “bottom-up” analysis of the WLP fabs, the report displays the list and ranking of the WLCSP front-end (RDL, UBM and balling) players as of end of 2010, including the detailed respective wafer production capacities by player and wafer type.

This “bottom-up meets top-down analysis” cross checks Yole Développement’s forecasting models application by application, and player by player, delivering a high definition picture of the status of the ‘fan-in’ WLCSP market, with, for example, the level of loading of the major WLCSP fabs by application and by design company.

Numerous application examples are given, recent technical developments on materials, architectures and test are detailed, and industry-wide technology roadmaps are presented. In addition, the report provides for the analysis of the supply chain and a detailed cost analysis section with models and examples.

ISiCPEAW 2012 to be held May 29-30, 2012

FRANCE & SWEDEN: The Swedish SiC Power Center, Enterprise Europe Network and Yole Développement are glad to announce for the 2nd time, their collaboration on the workshop International SiC Power Electronics Applications. ISiCPEAW 2012 is a two day event on the latest results and innovations on the use of Silicon Carbide technology in power electronics applications.

From May 29 to 30, international experts will meet in Stockholm to share their expertise, recent developments and vision of SiC electronics applications. In 2011, the workshop attracted more than 200 attendees from all around the world and we expect no less in 2012. This event also includes an exhibition area and networking opportunities with business-to-business (B2B) matchmaking.

This event will take place at Kista Science Tower, Stockholm, Sweden.

The workshop
The overall aim of the workshop is to promote the use of the latest silicon carbide research and development results by bringing together the foremost experts from both academia and industry. The focus is on the use of SiC technology in power electronics applications, components, modules, packaging, reliability and benchmarking versus silicon power electronics.

The program will be defined by SiC experts and reflect the status of SiC from an industry point of view. Specialists from all over the world will present their view on the current status, ongoing development and the opportunities of applications in the power electronic area. They will present the latest products and solutions and will be available for detailed technical discussions.

The exhibition
More than just a conference, the workshop ISiCPEAW includes debates and numerous networking times all along the days. The Swedish SiC Power Center, Enterprise Europe Network and Yole Développement also set up an exhibition area dedicated to the experts of the SiC industry.

Semiconductor executives temper growth expectations, US market increasingly important

SILICON VALLEY, USA: While semiconductor industry executives note the rise of the United States as the second most important market for growth, behind China, their revenue and profitability growth expectations overall are down from a year ago and they do not plan to hire as many people, according to a global survey conducted by KPMG LLP, the US audit, tax and advisory firm.

In KPMG's Seventh Annual Global Semiconductor Industry Survey, 41 percent of the semiconductor executives surveyed expects that revenue will grow by more than 5 percent next year, compared with 78 percent a year ago, and 87 percent in 2009. They also see less growth in profitability, with 30 percent anticipating profits to increase by greater than 5 percent over the next 12 months, compared with 37 percent last year.

In addition, this year the Semiconductor Business Confidence Index, a metric based on survey data, measured 46, compared to 60 in 2010 and 61 in 2009. The confidence index has risen from 36 in 2008, indicating that forecasted industry conditions entering 2012 will not be as severe as the beginning of 2009.

"It is not unexpected to see the industry take a breath after two strong years following the economic and industry downturn," said Gary Matuszak, KPMG Global Chair for the Technology, Media and Telecommunications practice. "Executives continue to pursue their growth agendas, and will be acquisitive, but remain very apprehensive about the direction of the economy."

In fact, in the KPMG survey, capital spending, R&D spending, and hiring are at lower levels than prior years. Just 27 percent, compared with 46 percent a year ago, anticipate capital spending to increase by more than five percent. Thirty-three percent expect more than a five percent rise in R&D spending, compared with 47 percent a year ago. And 19 percent of the respondents predict workforce growth of greater than 5 percent, compared with 29 percent in 2010.

US market growing in importance
Semiconductor executives continue to note the increasing importance of the US market. Consider that in 2008, 38 percent of the executives felt that the US was an important market for revenue growth, behind China (79 percent), Taiwan (44 percent) and Japan (40 percent). In each subsequent year an increasingly greater number of executives named the US as an important market. Today, 50 percent, as compared with 47 percent a year ago, view the US as important, second to China, at 60 percent, with Japan ranked third, at 37 percent.

"Wireless, computing and consumer applications are providing the strongest demand for semiconductors, and with retail sales strengthening, especially during the peak holiday season, the U.S. consumer is showing an appetite for the latest and greatest," said Ron Steger, Partner in Charge, KPMG Global Semiconductor Practice.

"China's decrease in importance might be the result of the Chinese government's tightening in lending but it is clear that the industry sees the China and US markets as the two most significant global end markets for growth."

The KPMG survey respondents were also asked to rank the importance of application markets in driving revenues. The top driver of current revenue growth for 2012 was wireless handsets and other wireless communications devices again. However, computing has become the second most important driver, followed by consumer products, a switch in positions from last year's survey.

Also of note is the rise in alternative/renewable energy (solar, thermal, battery technologies) and medical application markets, although both are still at relatively low levels. "Worthy of note is that the respondents appear to be signaling that conditions in the renewable energy market may be bottoming out, a positive data point," said Steger.

In other survey findings:
* Sixty-four percent of semiconductor executives believe that global semiconductor revenue will be impacted 3 percent or more by counterfeit technology, including a third who said the impact will be 5 percent or more. To combat counterfeiting, the top three actions by companies are deploying more sophisticated identification technologies, providing detailed testing protocols and enhancing product return testing programs.

* More than a third of the respondents said there will be an increase over the next 12 months in the number of semiconductor intellectual property (IP) infringement cases in which their company is involved.

* When asked about their company's cost to respond to the IP infringement cases over the next three years, 30 percent expect the cost to increase more than 5 percent.

* International standardization of patent laws and enforcement policies and stronger global IP policies and cooperation among major countries are cited as the two actions that would improve the regulatory environment for IP infringement cases.

* More survey respondents said their companies' settle IP infringement cases through negotiation, with litigation in special patent courts and litigation in select geographic markets rounding out the top three ways to settle the cases.

* Despite the current high cost of equipping a 300mm wafer fabrication plant, more respondents, 40 percent, believe the industry will move to 450mm wafers, while 31 percent anticipate the industry remaining at 300mm wafers for the foreseeable future, and 30 percent were unsure. Most importantly, 54 percent believe the transition to the 450mm wafer will occur between 2013 and 2016.

Broadcom announces industry's first CMOS 40G PHY for long haul optical transport

IRVINE, USA: Broadcom Corp. has announced its next generation 40G physical layer transceiver (PHY) chipset, designed to address the need for higher bandwidth and lower power in Optical Transport Networks (OTN). Broadcom's new 40G PHY chipset is the industry's first to leverage CMOS technology for 40G optical transmission using DQPSK modulation, providing high reliability, lower power consumption and shorter fab cycle time for long haul DWDM transmission.

Demand for additional network bandwidth is being driven by broadband consumer applications such as streaming video, HD video and on-demand services. Broadcom's 40G PHY chipset, including the BCM84141 demultiplexer (Demux) for receiving data, and the BCM84142 multiplexer (Mux) for transmission, work together to deliver a significant increase in network bandwidth and scale while significantly reducing power consumption by more than 50 percent. Broadcom's 40G DQPSK chipset is currently in production with multiple customers.

The high speed network port market is estimated to hit $52 billion in 2015(2) as operators continue to upgrade legacy networks to manage the increasing global demand for higher bandwidth. At the same time, operators are looking to minimize complexity, cost, and power consumption.

Broadcom's 40G PHY chipset provides a cost-effective, reliable solution to meet operator needs today and the building blocks required for future optical transmission speeds up to 100G and beyond. Broadcom's 40G PHY chipset integrates high performance more than 20 Gbps IOs, which is essential for developing future devices that will support 100G optical transmission.

Atmel to power Samsung's Focus Flash and Focus S touchscreens

SAN JOSE, USA: Atmel Corp. announced that Samsung has selected Atmel's maXTouch E Series solution to power their new Samsung Focus Flash 1677 and Samsung Focus S 1937.

The Samsung Focus Flash runs on Windows 7.5 Mango OS and also includes a large 3.7" Super AMOLED display, touch-enabled by Atmel's E Series, allowing for a richer color display and market-leading touch response and device performance rates.

The Samsung Focus S runs on Windows 7 and features the Super AMOLED touchscreen, powered by Atmel's E Series technology, providing sharp, cinema-quality images throughout the multitouch user interface.

The maXTouch E Series features a complete portfolio of high-performance single-chip controllers designed to address every touchscreen size and application. Atmel's E Series raises the bar with an updated capacitive touch engine (CTE) that features all new noise avoidance and noise suppression capabilities. Every aspect of touchscreen performance is improved—delivering higher fidelity touch sensing, faster responsiveness, lower power consumption and thinner form factors.

With Atmel's maXTouch E Series technology, users will experience lower device power consumption, higher signal-to-noise ratio, higher accuracy including reduced unintended touches, brighter displays, improved resistance to moisture, better operation with noisy chargers and a wider range of panel options. Additionally, the E Series can detect even the lightest touch, including that of a fingernail, as well as active and passive conductive styluses.

"Atmel is proud to continue powering Samsung's latest smartphone devices with our maXTouch technology," said Binay Bajaj, director of touch marketing, Atmel. "Samsung continues to be among the leaders in the smartphone market and their continued selection of our technology validates our leadership in the touchscreen market."

The Samsung Focus Flash and Focus S join the ranks of previous next-generation Samsung devices to be powered by Atmel's maXTouch E Series, including the: Ultra-Slim Galaxy Tab 10.1, Galaxy Note, Galaxy Tab 7.7and Samsung Galaxy S.

BIOS AP designs Spartan-6 FPGAs into RAID motherboard

SAN JOSE, USA: Xilinx Inc. announced that BIOS AP Taiwan, an alliance partner of RAID (redundant array of independent disks) controller and system company BIOS Corp. Japan, has designed Xilinx Spartan-6 FPGAs its ROMB miniITX ATOM motherboard for leading surveillance server and network attached storage system applications.

The FPGAs are being embedded into a RAID motherboard to enable system integrators to build highly reliable server appliances, such as surveillance video recorders and network attached storage. This particular ROMB appliance supports high sustained throughput and reliable data storage for new digital surveillance video recorders.

Spartan-6 FPGAs have enabled designers to simplify the design of hardware and reduce production costs. Additionally, the flexibility of Spartan-6 FPGAs provide a highly programmable configuration and compact design for the RAID technology that can be adapted to incorporate new features without changing the hardware.

"Our partnering company, BIOS Corp. Japan, has a long history of success working with Xilinx for over 10 years now, so it made sense to continue the accomplished relationship," said Dennis Lin, president of BIOS AP. "The Xilinx FPGAs played a key role as we introduce the first single chip RAID 6 ATOM motherboard in the world with dual Gigabit Ethernet and six hard drive interface which will be available in March 2012. We are looking forward to the next generation of Xilinx FPGAs, including the integration of CPU cores. We believe an all-in-one solution will deliver better cost performance in the future."

With Spartan-6 FPGAs, BIOS AP has been able to deliver high-performance RAID in a constrained space on the motherboard. By completely offloading RAID operation from CPUs, the cost effective ROMB can deliver comparable performance to some of the most costly, high-end server boards on the market.

DisplayLink chip powers Japan’s first USB 3 graphics adapter from I-0 DATA

PALO ALTO, USA & KANAZAWA, JAPAN: DisplayLink, the leading provider of technology for virtual graphics and USB-connected computing, and IO-DATA Device announced the availability of the IO-DATA USB-RGB3/H USB 3.0 to HDMI display adapter. Incorporating the DisplayLink DL-3500 chip for connectivity and virtual graphics, it is the first USB 3.0 enabled display adapter for HDMI to ship in Japan.

Featuring the robust DisplayLink 3.0 video compression and support for resolutions up to 1920 x 1080, including 1080p, even the newest notebooks, netbooks and PCs can enjoy the benefits of multi-screen computing. And with the throughput of SuperSpeed USB 3.0, those monitors will display high-performance, full-screen HD video, 3D games, rich 2D graphics and multi-channel audio.

“Mixing and matching devices with different peripherals will continue to become even more popular with USB 3.0’s speed and versatility,” said Dennis Crespo, DisplayLink executive VP of marketing and business development. “And this is as true in Japan as any other technology-embracing market.”

“The DisplayLink DL-3000 family of chips allows I-O DATA to be first-to-market with high-quality products that our end consumers want now,” said Hiroshi Kawada, board director of Business Strategy Dept. of I-O DATA. “The USB-RGB3/H adapter fills an immediate need for users of the newest PCs, allowing them to take advantage of displays of different resolutions with without sacrificing performance.”

USB 3.0 has transmission speeds of up to 5 Gbit/s, which is 10 times faster than USB 2.0. USB 3.0 significantly reduces the time required for data transmission, reduces power consumption, and is backwards compatible with USB 2.0.

IO-DATA USB-RGB3/H USB 3.0 to HDMI display adapter is available now and retails in Japan for the equivalent of US $136, at current exchange rates.

StarChip achieves first-time-right ESD performance in SIM/smartcard IC with Sofics TakeCharge technology

MEYREUIL, FRANCE & GISTEL, BELGIUM: StarChip has chosen TakeCharge electrostatic discharge (ESD) technology from Sofics (www.sofics.com) of Gistel, Belgium, to protect its newest ICs, which include high voltage on-chip interfaces and must withstand exposure above 4kV Human Body Model (HBM) discharges in their target markets. Sofics technology was selected as the fastest and most cost-efficient way to implement ESD protection beyond the standard 2kV level.

StarChip develops flash-based, 32-bit ICs for Smartcards, subscriber identity modules (SIMs), and machine-to-machine (M2M) controllers. Sofics is the world leader in on-chip design solutions that enable maximum functional performance with robust ESD protection at the lowest cost.

“When we started development of our newest ICs for SIMs and smartcards we selected Sofics to develop robust, full-chip ESD protection to meet reliability requirements far beyond typical standards, in a foundry process that was new to both of us,” said Christian Dupuy, COO of StarChip.

“Sofics provided a solution that worked perfectly the first time. There was no need for re-spins, no significant increase in silicon real estate, and no ESD masks or other process extras. This minimized our development time and wafer costs.”

The market for SIM, Smartcard, and M2M controllers is on the verge of explosive growth, bigger than that of mobile phone communications. An IC designer in this market has to swiftly develop IC variants for specific end-user requirements without compromising performance and reliability.

“We were able to meet StarChip’s requirements and timeline because the TakeCharge portfolio is highly portable across foundries and easily adapts to provide higher protection and meet specialty ESD requirements,” said Koen Verhaege, Sofics CEO. “We significantly reduced our customer’s cost of development and design, and enabled faster time-to-market.

“After the first successful implementation, StarChip decided to include the same ESD cells in their next IC project. We appreciate their confidence in our technology, and in the re-use value and cost efficiency of our solutions.”

Acacia subsidiary acquires semiconductor packaging patents from major tech firm

NEWPORT BEACH, USA: Acacia Research Corp. announced that a subsidiary has acquired semiconductor packaging patents from a major technology company.

"As Acacia's licensing success grows, an increasing number of major technology companies are selecting us as their partner for the licensing of their patented technologies," commented Paul Ryan, Acacia chairman and CEO. "Acacia is rapidly becoming the leader in technology licensing and we continue to grow our base of future revenues by adding new patent portfolios."

TI MSP430Ware software and resource package for MSP430 MCUs

BANGALORE, INDIA: Providing a comprehensive software ecosystem for simplified microcontroller development, Texas Instruments Inc. (TI) announced the new MSP430Ware software and resource package. MSP430Ware offers a sleek and intuitive graphical user interface (GUI) where developers can immediately access and easily filter through all MSP430 microcontroller design resources by device, tool or software library.

With automatic web updates, MSP430Ware ensures developers always have the latest and greatest documentation, code examples, project templates and more. Developers can also take advantage of MSP430Ware’s free software resources in a familiar design setting as part of the Code Composer Studio integrated development environment (IDE).

MSP430Ware features a one-stop-shop for MSP430 microcontroller developers by organizing and presenting existing software tools as well as new resources such as the MSP430 Driver Library. Driver Library allows designers to configure, enable and use MSP430F5xx and MSP430F6xx microcontroller peripherals through an easy-to-understand application programming interface (API) and simple function calls.

This abstracted API library eliminates bitwise programming, providing a faster time to market for new and experienced developers. Also packaged within MSP430Ware are project templates for Grace 1.1, the most recent version of the Grace software platform, which enables developers to quickly and easily enable and configure MSP430 Value Line and MSP430F2xx device peripherals.

Additionally, the MSP430 USB Developer’s Package is also available, which includes all necessary APIs and examples to begin USB development. With MSP430Ware, developers have everything needed to become a MSP430 microcontroller expert.

Key features and benefits of MSP430Ware
• Free collection of all MSP430 microcontroller development resources delivered through Code Composer Studio IDE’s Resource Explorer.
• Advanced GUI framework makes it easy for developers to find the resources needed at any time during the development process.
• Driver Library includes a detailed API guide for each function call for peripherals such as ADC, DACs, timers, serial communication interfaces and more, allowing developers to start application development quickly on MSP430F5xx/F6xx devices.
• Code examples for all MSP430 microcontrollers, GUI-based peripheral configuration tools, RF and USB software resources are packaged together for a seamless learning and development environment.
• Automatic web updates offer latest materials, eliminating unnecessary time spent searching for design resources and technical documentation for faster time to market.
• Also available as a standalone tool with resources to support other IDEs such as IAR Embedded Workbench for MSP430 devices, a commercial tool chain as well as MSPGCC, a free, open source GCC tool chain for MSP430 devices.