Tuesday, December 6, 2011

KLA-Tencor intros additions to SensArray portfolio of semiconductor in-situ process monitoring solutions

MILPITAS, USA: KLA-Tencor Corp. introduced new additions to its SensArray portfolio of advanced wireless temperature monitoring wafers. The portfolio implements time-based, in-situ temperature monitoring to capture the effect of the process environment on production wafers, which helps integrated circuit (IC) manufacturers improve their capital equipment ROI.

Developed through collaboration with leading IC manufacturers and original equipment manufacturers (OEMs), the EtchTemp-SE (ET-SE), ScannerTemp and WetTemp-LP products enable customers to monitor temperature information across the entire wafer surface under real process conditions. Advanced semiconductor manufacturing processes have greater sensitivity to temperature, and, consequently, monitoring temperature variation has become a more critical component of semiconductor production.

Process and equipment engineers utilize SensArray thermal information in several ways:
* Wafer surface temperature monitoring is an indicator of manufacturing equipment performance. This information helps IC manufacturers ensure equipment health, thus enabling them to increase equipment uptime and reduce tool maintenance costs and cost of ownership.
* Temperature variation between multiple equipment sets is a critical parameter in the qualification of tools, thus enabling faster production ramps.
* Tracking temperature variation data enables faster root cause analysis of process excursions and detects trends that indicate possible future excursions.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.