Tuesday, April 17, 2012

SpringSoft releases third generation Laker custom IC design platform and new analog prototyping tool

HSINCHU, TAIWAN: SpringSoft Inc., a global supplier of specialized IC design software, announced immediate availability of the Laker3 custom IC design platform and new Laker Analog Prototyping tool. The third generation of the popular Laker product family delivers a complete OpenAccess (OA) environment for analog, mixed-signal, and custom digital design and layout that is optimized for performance and interoperability in 28 and 20-nanometer (nm) flows.

The Laker³ platform provides an entirely new interactive and modernized software infrastructure for all OA-based Laker products, including the Laker Advanced Design Platform (ADP), Laker Custom Layout System, Laker Custom Digital Place and Route, as well as the new Laker Analog Prototyping tool. The platform increases OA performance, introduces next-generation layout technologies that are tuned for 28nm and 20nm design rules, and fully supports multi-vendor design flows with Interoperable process design kits (iPDK) and third-party tool integrations.

The new Laker Analog Prototyping tool provides early feedback on the impact of layout parasitics and other layout dependent effects (LDE), which can be particularly challenging to manage at the 20nm node. Its unique capabilities enable automated constraint generation, layout exploration, and rapid implementation in a single flow.

“We evaluated the Laker Analog Prototyping in our OpenAccess-based STARCAD-AMS environment. We confirmed it satisfied the requirements of the chip size and the placement constraints of STARC Motif circuits. We especially like how Laker automatically generates constraints from the circuit schematic and considers current flow during placement. Also, we expect it will be integrated into the STARCAD-AMS design flow soon,” said Kunihiko Tsuboi, senior manager for Japan’s Semiconductor Technology Academic Research Center (STARC).

Third generation platform
The Laker3 platform is built on a performance-driven infrastructure with pervasive multi-threading, new ultra-fast drawing capabilities, and 2-10X faster read/write operations compared the Si2 OpenAccess reference implementation. It also features an updated graphical user interface (GUI) with modern conventions, such as window tabbing, dockable windows and Qt-based look and feel, for a more productive and ‘personalized’ user experience.

The design entry, custom layout, custom digital place and route, and analog prototyping tools share the same binary executable creating a unified environment that enables passing of design intent between tools. This front-to-back flow is able to fully leverage the automation benefits of constraint-driven design, schematic-driven layout (SDL) and ECO flows in order to improve overall accuracy and user productivity.

A new DRC engine that addresses 20nm design rules is used by Laker automation tools and for rule-driven editing during layout. For layout editing with ‘sign-off’ rule decks, which is critical for 20nm designs, Laker uses the award-winning Mentor Calibre RealTime interactive DRC tool. In addition, beginning with this release, interoperable PyCells can now be used with all Laker automation features that previously supported only MCell parameterized devices.

“We have used Laker as our standard custom IC layout tool for many years resulting in successful tape-outs and turnaround time reduction,” said Satoru Washida, chief specialist of Group2 of Solutions Department 2, LSI Solutions Division at Toshiba Information Systems (Japan) Corp. “With the enriched second-generation OpenAccess interoperability, sophisticated new GUI and greatly improved performance of Laker³, we expect to provide even more productivity to our customers.”

New analog prototyping tool
The Laker Analog Prototyping tool is built directly into the Laker SDL flow to automate the process of analyzing advanced process effects and generating constraints to guide circuit layout. This rapid prototyping flow results in a more predictable design cycle and improves productivity with less time wasted on post-layout design adjustments compared to conventional methods.

Key features include ‘smart’ placement techniques to automatically generate multiple DRC-correct and routable options, hierarchical structure to handle thousands of transistors, and full support for the complete range of industry standard parameterized device formats, including MCells, PyCells, C++ PCells, and Tcl PCells.

“Laker is the most widely used and broadly supported custom design solution with unmatched support for interoperable PDKs and multi-vendor tool flows,” said Dave Reed, senior director of marketing for custom IC design solutions at SpringSoft. “The new Laker3 platform builds on this foundation through the experience gained working with many customers worldwide and our continued investment in next-generation technologies. Collaboration with leading-edge companies working at 20nm was especially instrumental in driving the important new capabilities needed for advanced geometries.”

The Laker3 software platform is shipping today for the complete family of OA-based custom IC design and layout products. Laker customers with current maintenance contracts can upgrade immediately at no charge. The new Laker Analog Prototyping tool is list priced starting at US$80,000 per year for a three-year subscription license.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.