Thursday, May 19, 2011

FUJIFILM joins SEMATECH’s resist center for advanced EUV resist development at UAlbany NanoCollege

ALBANY, USA & TOKYO, JAPAN: SEMATECH, a global consortium of chipmakers, announced that FUJIFILM Electronic Materials, a leading global supplier of photoresists, developers, cleaners and removers, polyimides and thin film chemicals and equipment for the semiconductor industry, has joined SEMATECH’s Resist Materials and Development Center (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

As a resist member of SEMATECH’s lithography program, FUJIFILM will collaborate with SEMATECH engineers on critical resist issues in extreme ultraviolet (EUV) lithography. Specific areas include reduction or elimination of line edge roughness (LER) in images below 22nm; ultimate resolution of new resists; and testing imaging materials for EUV sensitivity.

“Our partnership with SEMATECH provides FUJIFILM the opportunity for a new level of collaboration to further support the semiconductor manufacturing and electronic materials markets,” said Takahiro Goto, GM of Electronic Materials Research Laboratories, FUJIFILM. “FUJIFILM is committed to ensure that they have the enabling materials and processes they need to foster greater innovation.”

“We’re looking forward to working with FUJIFILM in our mutual effort to develop leading-edge resists and materials, and accelerate process availability for EUV pilot line manufacturing,” said John Warlaumont, vice president of Advanced Technologies, SEMATECH. “This partnership will help strengthen the RMDC’s ability to address critical resist issues in advanced materials and accelerate the search for new solutions to our common technology challenges.”

“The leading-edge research and development at the UAlbany NanoCollege that is critical for the commercialization of EUVL technologies will be enhanced by the addition of FUJIFILM,” said Richard Brilla, CNSE vice president for strategy, alliances and consortia. “This further builds on the world-class capabilities enabled by the SEMATECH-CNSE partnership to support the advanced technology needs of our global corporate partners and the industry.”

The partnership will be based on SEMATECH’s extensive network of hardware and research expertise, semiconductor experience, and highly respected market leadership and on FUJIFILM’s proven, industry-leading semiconductor materials and processes. SEMATECH’s RMDC will provide access to two micro-exposure tools (METs) as well as several metrology tools located at the University at Albany’s College of Nanoscale Science and Engineering and the University of California, Berkeley.

At the RMDC, leading resist and materials suppliers participate in focused, cooperative R&D with SEMATECH member companies. Together, the RMDC provides the hardware and research expertise required by materials suppliers and member companies to develop EUV resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications needed for EUV insertion at member companies.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.