Thursday, July 8, 2010

SEMATECH, Lasertec partner at UAlbany NanoCollege to develop TSV solutions for chip-stacking apps

ALBANY, USA & YOKOHAMA, JAPAN: Lasertec Corp. of Japan has joined SEMATECH’s 3D Interconnect Program at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, and will partner with SEMATECH to develop robust, cost-effective process metrology technology solutions for readying high-volume via-mid through-silicon via (TSV) manufacturing.

The collaboration between Lasertec and researchers from SEMATECH’s 3D interconnect program will include investigations and comparisons of 3D TSV depth metrology schemes. This work is necessary not only for TSV RIE process control, but also for providing critical feed forward data for wafer thinning and TSV expose processes.

To facilitate this work, Lasertec will place a 300 mm TSV infrared (IR) etch metrology tool in SEMATECH’s 3D R&D Center, providing advanced measurement capabilities that will enable accurate, repeatable TSV depth measurements over a range of TSV dimensions.

“We are pleased to welcome Lasertec to the 3D program,” said Sitaram Arkalgud, director of SEMATECH’s 3D Interconnect Program. “Our common goal is to address the technical challenges of via-mid TSV technology. The metrology expertise of Lasertec combined with the capability of the TSV 300-IR will fill an important gap in our integration scheme. Together, we will provide our Member Companies with a world class TSV depth metrology solution capable of addressing today’s needs as well as tomorrow’s aggressive dimensions.”

“Lasertec is looking forward to contributing our expertise in the fields of metrology and inspection to further explore innovative metrology capabilities that will make 3D TSVs commercially viable,” said Hal Kusunose, CTO of Lasertec. “Our cutting-edge TSV 300-IR tool will allow SEMATECH researchers and SEMATECH’s Member Companies to address important metrology challenges of TSV technology.”

“The leading-edge research and development that is critical for commercializing innovative TSV technologies will be further enhanced by the addition of Lasertec to CNSE’s Albany NanoTech Complex,” said Richard Brilla, CNSE Vice President for Strategy, Alliances and Consortia. “This new collaboration builds on the SEMATECH-CNSE partnership to support the advanced technology needs of our global corporate partners and the nanoelectronics industry.”

Through-silicon via technology is a method of combining integrated circuits in a vertical stack to enable high functionality and performance with low power consumption in a small footprint. While employing many standard chip processes, TSVs present several new technical and logistical challenges which are being addressed by SEMATECH.

Launched three years ago, SEMATECH’s 3D program was established at CNSE’s Albany NanoTech Complex to deliver robust 300 mm equipment and process technology solutions for high-volume through-silicon via (TSV) manufacturing. To accelerate progress, the program’s engineers have been actively engaging with leading edge equipment and materials suppliers and leveraging their expertise to ready TSV technology.

Eventually, 3D interconnects will provide cost-effective ways to integrate diverse CMOS technologies and chips with emerging technologies such as micro and nano electromechanical systems (MEMS, NEMS) and bio-chips.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.