Wednesday, July 28, 2010

Xilinx improves design flow for industry's only proven partial reconfiguration FPGA technology with ISE Design Suite 12.2

SAN JOSE, USA: Xilinx Inc. has announced the availability of its fourth generation partial reconfiguration design flow and new improvements to its intelligent clock gating technology that deliver a 24 percent reduction in dynamic block-RAM (BRAM) power consumption in Virtex-6 FPGA designs.

Designers can download ISE Design Suite 12.2 today to take advantage of an easier-to-use, intuitive partial reconfiguration design flow as well as take further steps to reduce power consumption and reduce overall system costs. In addition, a low-cost simulation solution for the embedded design flow is also now available in the latest release of the ISE Design Suite.

"As systems become more complex and designers are asked to do more with less, the adaptability of FPGAs, in addition to their inherent reprogramability, has become a critical asset," said Tom Feist, senior marketing director, ISE Design Suite.

"Xilinx FPGAs have long supported partial reconfiguration and the flexibility to perform on-site programming and re-programming. Today, however, the severity of the constraints on cost, board space and power consumption requires exceptionally efficient and economic design strategies to compete, which is why we've made the design flow easier."

Partial Reconfiguration enables on-the-fly flexibility that can dramatically expand the capabilities of a single FPGA. While operational, designers can reprogram regions of the FPGA with new functionality without compromising the integrity of the applications running in the remainder of the device.

For example, customers developing wired Optical Transport Network solutions can achieve multi-port multiplexer/transponder capabilities using 30-45 percent fewer resources, whereas Software Defined Radio solutions can dynamically exchange communication waveforms at the same time as other waveforms continue to operate without interruption and the need for bigger or additional components.

Partial Reconfiguration also enables designers to manage power consumption by swapping out high-power consuming functions for more power-efficient functions when the highest performance is not required.

Xilinx made its fourth generation Partial Reconfiguration easier to use with a more intuitive design flow and interface. This includes an improved timing constraint and timing analysis flow, automatic insertion of proxy logic to bridge static and reconfigurable partitions, as well as full-design timing closure and simulation capabilities. ISE Design Suite 12 enables designers to target Virtex-4, Virtex-5 and Virtex-6 devices for Partial Reconfiguration applications.

Continued clock-gating innovation for lowering BRAM power consumption
To help customers make their designs more power efficient, Xilinx enhanced its Intelligent Clock-Gating technology, which was made available through acquisition of PwrLite Inc. in summer 2009, to enable the lowering of BRAM dynamic power.

Through a unique set of algorithms, the ISE Design Suite can automatically neutralize unnecessary logic activity. This is a primary factor behind power dissipation, as it enables power optimizations that were not applied at the RTL level to be implemented downstream after synthesis, thereby reducing overall dynamic power consumption by as much as 30 percent.

Starting in ISE Design Suite 12.2, the intelligent clock-gating optimization will also reduce power for dedicated RAM blocks in either simple or dual-port mode. These blocks provide several enables: an array enable, a write enable and an output register clock enable. Most of these power savings will come from using the array enable. The ISE Design Suite is the only FPGA tool suite which offers fine grain clock gating optimizations integrated to the place and route algorithms.

Simulation support for embedded designs
ISE Simulator (ISim) is now available for the embedded design flow through the Xilinx Platform Studio (XPS) and Project Navigator tools, enabling embedded designers to take advantage of the mixed language (VHDL and Verilog) simulator integrated with the ISE Design Suite.

The new version of ISim has several new productivity-enhancing features, including automatic detection and listing of design memories for viewing and editing. This new Memory Editor enables designers to explore what-if scenarios using a graphical way to force a value or pattern on a signal without needing to recompile the design. ISE Design Suite 12 also makes it possible for designers to navigate to HDL source from the waveform viewer.

Start designing today
ISE Design Suite 12 is rolling out in phases with intelligent clock gating for Virtex-6 FPGA designs already shipping now with the 12.1 release on May 3, 2010, partial reconfiguration for Virtex-6 FPGA designs starting in the 12.2 release, and AXI4 IP support to follow in the 12.3 release. The ISE Design Suite 12 works with the latest simulation and synthesis software from Aldec, Cadence Design Systems, Mentor Graphics and Synopsys.

Additionally, ISE Design Suite 12 software features an average of 2X faster logic synthesis and 1.3X faster implementation run times for large designs than previous versions and an improved embedded design methodology.

ISE Design Suite 12.2 is immediately available for all ISE Editions and list priced starting at US$2,995 for the Logic Edition. Fourth generation Partial Reconfiguration can be purchased as an option and is bundled with two days of onsite training. Customers can download full-featured 30-day evaluation versions at no charge from the Xilinx web site.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.