Wednesday, May 12, 2010

JSR joins SEMATECH’s Resist Center at UAlbany NanoCollege

ALBANY, USA & TOKYO, JAPAN: SEMATECH, a global consortium of chipmakers, and JSR Corporation, an advanced materials supplier to chip-makers and others, and its U.S. operation, JSR Micro, Inc. announced today that it has become the newest member of SEMATECH’s Resist Materials and Development Center (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

JSR will collaborate with SEMATECH engineers on key resist issues in extreme ultraviolet (EUV) lithography. Focus areas will include:

* Working to reduce or eliminate line edge roughness (LER) in lithographic images below 22 nm.
* Discovering ultimate resolution of newly formulated photoresists.
* Testing various imaging materials for EUV sensitivity.
* SEMATECH and JSR have partnered previously in several technology development programs, including 300 mm test wafers, low-k films, and advanced resists, including double exposure materials.

“We have a successful history of partnership with SEMATECH and we are excited to continue that history in the field of EUV,” said Hozumi Sato, managing director of JSR Corporation, responsible for the Research and Development. “Combining resources to create next generation of EUV materials is not only good for JSR and SEMATECH, but will benefit the industry as a whole.”

“We’re looking forward to working with JSR in our mutual effort to develop leading-edge resists and materials, and accelerate process availability for EUV pilot line manufacturing,” said John Warlaumont, vice president of Advanced Technology at SEMATECH. “Our successful experience in our previous partnerships will contribute greatly to RMDC’s effectiveness.”

“The addition of JSR to the roster of global companies at CNSE’s Albany NanoTech Complex will further enhance the SEMATECH-CNSE partnership in driving leading-edge nanoelectronics innovations,” said Richard Brilla, CNSE Vice President for Strategy, Alliances and Consortia. “This collaboration is enabling advances in a host of technologies, including EUV lithography, which are critical to industry.”

At the RMDC, leading resist and materials suppliers participate in focused, cooperative R&D with SEMATECH member companies. Together, the RMDC provides the hardware and research expertise required by materials suppliers and member companies to develop EUV resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications needed for EUV insertion at member companies.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.