Thursday, March 31, 2011

Copper interconnect explosion continued into 2010

Dr. Robert Castellano, The Information Network

NEW TRIPOLI, USA: I stated in an e-mail to you on April 15, 2010 that according to our report 300mm/Copper/Low-K Convergence:Timing, Trends, Issues, Market Analysis , the long awaited transition to copper interconnects for memory devices skyrocketed in 2009, and will impact nearly every sector of the semiconductor equipment market into 2011.

I also noted that “While the overall semiconductor equipment market decreased more than 40 percent in 2009, equipment directly tied to the copper interconnect part of semiconductor manufacturing decreased only 8.7.”

Now as part of research in updating the report for 2011, I’ve discovered that the explosion continued in 2010 and the copper market grew 152 percent compared to 105 percent for the rest of the semiconductor equipment space.

In 2009 and in 2010, the impact of this transition on processing equipment was most obvious in equipment used with traditional aluminum interconnects. For example, the high-density plasma CVD sector (HDPCVD), which is used for depositing undoped (USG) and doped (PSG and FSG) films, saw revenues drop 72 percent in 2009. In 2010, HDPCVD grew only 19.4 percent!

The integration of copper into memory devices presents a different set of challenges than the long-established logic processes. DRAM and Flash, which comprise the majority of the memory applications, exhibit high aspect ratios, small CD sizes, and critical sensitivity to line resistance.

In 2009, we saw the implementation of copper into memory devices. In 2010, growth in logic was more pronounced than memory. The key here is that in a logic device there are 10-12 layers of copper interconnects versus only 1 layer for memory. So, 2010 was an exceptional year for copper because it combined the robust growth of logic as well as the continued transition to copper for memory.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.