Friday, June 3, 2011

Docea enhances what-if power analysis and optimization for architectures, use cases

SAN DIEGO, USA: At the 48th Design Automation Conference (DAC), Docea Power, the design-for-low-power company that delivers software for power consumption and thermal analysis at the architectural level, will show and introduce an enhanced version of its flagship software product, Aceplorer 2.3, with a synthetic view for capturing the power architecture of complex designs. This enables what-if analysis and optimization for hardware architecture and the target applications’ use cases. Aceplorer models and optimizes electronic design power consumption, early in the design cycle, at the architectural level.

Aceplorer 2.3’s new features are built on top of a parameterized power models library. They allow users to set up a complex system design with any number of Intellectual Property (IP) cores and blocks, voltage clusters or clock domain distributions at a fraction of the time needed with any other methodology. The benefit is making more time available for in-depth exploration of the design space.

DAC demos
At DAC, Docea is demonstrating automatic scanning of power reduction techniques efficiencies on a design (dynamic voltage and frequency scaling (DVFS), clock gating, power gating and any combination thereof) and enabling architects to make better quality design decisions early in the process.

Docea Power’s Aceplorer interoperability with Synopsys Electronic System Level (ESL) products is being demonstrated at Synopsys’ Standards Booth. This interoperability facilitates the import of power-related information for building complex and accurate dynamic scenarios, using performance analysis conducted on virtual platforms.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.