Friday, February 19, 2010

SEMATECH kicks off Consortium at UAlbany NanoCollege to develop crucial EUV metrology tools

ALBANY, USA: SEMATECH has launched a global consortium at the College of Nanoscale Science and Engineering’s (CNSE) Albany NanoTech Complex to develop critical metrology tools for detecting defects in advanced masks needed for extreme ultraviolet lithography (EUVL) – filling an industry need considered too costly for individual companies to develop independently.

The new EUVL Mask Infrastructure (EMI) Partnership has drawn strong interest from six semiconductor industry entities. Additional members are being sought for the consortium, which will pursue an ambitious metrology program to enable defect-free EUVL masks for high-volume manufacturing by 2013.

“EUV mask defectivity is the single greatest challenge to EUV readiness, but finding the defects requires metrology tools that do not yet exist,” said John Warlaumont, SEMATECH’s vice president of Advanced Technology. “These tools will not be available in time without intervention, and the industry agrees that SEMATECH is the place to come together and partner for solutions.”

The EMI Partnership is open to mask and chip-makers, mask blank suppliers, other consortia, and regional governments. It will be administered by SEMATECH’s Lithography Program, based at the UAlbany NanoCollege.

“The development of advanced metrology solutions is critical to accelerating the use of EUV lithography for the manufacturing of nanoelectronics devices,” said Richard Brilla, CNSE Vice President for Strategy, Alliances and Consortia. “Once again, the partnership between SEMATECH and the UAlbany NanoCollege is leveraging the CNSE infrastructure and driving innovative research that will support the needs of our corporate partners and the nanoelectronics industry.”

Optical lithography is unlikely to be able to pattern chips beyond the 22 nm technology generation, and EUVL, with a wavelength of only 13.5 nm, is widely considered the best replacement for optical lithography. The EUV masks used for sub-22 nm patterning must be virtually free of defects to avoid transferring them onto chip circuits – but current metrology tools are generally ineffective at finding defects below 32 nm.

The EMI Partnership will address this metrology gap in phases by funding development of three metrology tools. First efforts will focus on enabling an enhanced EUV mask blank inspection capability by 2011, followed by development of an aerial imaging metrology system (AIMS) for EUV in 2013, and finally an EUV mask pattern inspection tool able to work at 16 nm by 2015. Producing prototypes of these tools is expected to cost an estimated $200 million or more.

Since 2003, the semiconductor industry has ranked defect-free EUV masks among its top three technical issues, and SEMATECH has led technical programs to drive defect reduction.

At the request of the industry, SEMATECH began pursuing a consortial solution for the required metrology infrastructure with a special workshop at SEMICON West in July 2009, continuing with working groups to develop proposals and efforts to sign up initial members. Going forward, SEMATECH will facilitate consensus building among the EMI partners, providing crucial data and a discussion forum for reaching conclusive agreements.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.