Tuesday, May 1, 2012

Apache Design releases fourth-generation RedHawk for sub-20 nm power sign-off

PITTSBURGH, USA: ANSYS subsidiary Apache Design Inc. has introduced the RedHawk-3DX to meet the power, performance and price demands of low-power mobile, high-performance computing, consumer and automotive electronics. This fourth-generation power sign-off solution delivers greater accuracy, capacity and usability for full-chip dynamic power and reliability simulation to manage power consumption and improve power delivery efficiency of advanced integrated circuit (IC) designs.

The release of RedHawk-3DX extends previous generations' capabilities to address sub-20 nanometer (nm) designs with 3+ gigahertz performance and billions of gates. It is also architected to support the simulation of emerging chip and packaging technologies using multidie three-dimensional ICs (3D-ICs) for smart electronic products.

"For more than a decade, RedHawk has been the industry standard for solving critical power integrity issues and is used as a sign-off solution by most of the world's top 20 semiconductor companies," said Dr. Andrew Yang, president of Apache Design, and VP and GM of ANSYS. "As power, performance and price drive advancements in semiconductors, our customers need best-in-class solutions to stay competitive. The release of RedHawk-3DX demonstrates our strong commitment in continuing to deliver innovative technologies to meet our customers' next-generation low-power requirements and capacity challenges."

Sign-off accuracy and coverage
To ensure the performance of next-generation ICs, engineers need greater power simulation accuracy and a more comprehensive understanding of power behavior scenarios. RedHawk-3DX improves the accuracy and coverage of dynamic power analysis by providing enhanced logic-handling capabilities. Its new event- and state-propagation technologies with vector-based and VectorLess modes utilize both the functional stimulus and statistical probability to determine the switching scenario of the design.

The fast event-propagation engine uses register transfer language (RTL)-level functional stimulus to perform cycle-accurate voltage drop simulation. The robust state-propagation engine for the VectorLess mode enables time-domain transient analysis without actual input stimulus and includes proprietary techniques to eliminate underestimation of toggle rates associated with traditional activity-based propagation approaches. RedHawk-3DX also supports flexible mixed-excitation mode, in which some blocks use RTL or gate-level vectors while the rest of the design uses the VectorLess methodology.

Sub-20 nm design requirements for power and signal electromigration (EM) analyses are driving the need for a more accurate reliability sign-off solution. RedHawk-3DX advances EM modeling technologies by delivering current direction-aware, metal topology-aware and temperature-aware EM checks, and by expanding its capabilities to support leading foundries' complex 20 nm EM rules.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.