Tuesday, June 15, 2010

Wintegra selects Magma's Quartz DRC and Quartz LVS for 65-nm chip

SAN JOSE, USA: Magma Design Automation Inc. announced that Wintegra, a leading provider of access processing semiconductors and software for next-generation telecommunication infrastructure solutions, has successfully taped out its latest low-power, high-performance 65-nanometer (nm) chip using Magma's Quartz physical verification products.

With Quartz DRC's and Quartz LVS's advanced capabilities, Wintegra was able to quickly sign off on the design using TSMC 65-nm runsets.

"As we integrate more features, our designs are getting larger, more complex. To meet our time-to-market windows we need a fast, high-capacity physical verification solution," said Yoram Yeivin, senior vice president of Engineering at Wintegra.

"Magma's Quartz DRC and Quartz LVS provide the ultra-fast turnaround time and performance we need. Plus, with the excellent support Magma provided during the flow development and deployment and the availability of sign-off runsets from TSMC's website, Quartz DRC and Quartz LVS were very easy to adopt."

"The Quartz products' proven ability to accelerate turnaround time of large designs and to support complex, leading-edge, deep-submicron process rules makes them ideal physical verification solution for today's advanced designs," said Anirudh Devgan, CVP and General Manager of Magma's Custom Design Business Unit. "Wintegra's success on this important design is a strong endorsement of the Quartz technology."

Magma's Quartz DRC and Quartz LVS products are architected to process integrated circuit (IC) designs of any size, at any technology node, in the least amount of time.

Magma's is the first truly scalable physical verification solution, able to provide turnaround time that is up to an order of magnitude faster than existing solutions while using existing computer resources. The Quartz tools are fully compatible with third-party IC implementation flows and can read file formats used by traditional physical verification tools.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.