Friday, June 3, 2011

Mentor Graphics completes 28nm physical design and verification flow for GLOBALFOUNDRIES technology

WILSONVILLE, USA: Mentor Graphics Corp. has completed its 28nm signoff-ready digital design flow for GLOBALFOUNDRIES technology. The sign-off-ready design flow is precisely tuned to address the unique challenges of designing and manufacturing integrated circuits at leading-edge nodes.

The Olympus-SoC place and route system includes expanded low-power features, improved recipes for efficient routing at 28nm, and DFM support for the foundry’s manufacturing scoring analysis (MAS) technique. The Calibre platform provides advanced pattern matching technology that implements GLOBALFOUNDRIES’ DRC+ methodology for elimination of litho hotspots. The Calibre InRoute solution provides the Calibre signoff analysis and automated repair integrated in the Olympus-SoC physical design system.

“GLOBALFOUNDRIES’ 28nm technology and methodologies tuned with Mentor’s tools offer our customers a powerful solution to drive better yield and faster time to market,” said Andy Brotman, vice president of design infrastructure at GLOBALFOUNDRIES. “These advanced solutions—especially with the integration of our DRC+ pattern-matching technology—help ensure that designs using our 28nm technologies perform and yield well without lengthy delays in the design cycle to achieve manufacturing closure.”

Mentor provides a complete reference flow based on the Olympus-SoC place and route system and the Calibre physical verification and DFM platform. New capabilities in the Olympus-SoC product make it ready for GLOBALFOUNDRIES’ most advanced processes including improved recipes for efficient routing with support for advanced 28nm ground rules to implement DFM, support for manufacturing scoring analysis (MAS), stage-based on-chip variation (OCV) tables for clock and data paths, and context-dependent timing, power and placement. In addition, the Olympus-SoC product supports low power design with UPF-based IP models, advanced nested voltage islands (donut shapes), and multi-vendor UPF interoperability.

The Mentor reference flow fully supports GLOBALFOUNDRIES’ DRC+ methodology, which leverages the signoff-quality pattern matching technology in the Calibre platform to deliver advanced litho checking that is over 100 times faster than traditional flows. The flow also includes the Calibre InRoute manufacturing closure platform, which enables designers to natively invoke Calibre tools within the Olympus-SoC place and route system to achieve true manufacturing closure during physical design.

The Calibre InRoute platform provides customers with GLOBALFOUNDRIES-certified DRC+ fixing, which implements true correct-by-construction design by recognizing and eliminating restricted layout patterns that can cause DRC/DFM violations.

Also in the area of DFM, GLOBALFOUNDRIES has released its Manufacturing Analysis and Scoring (MAS) deck for the Calibre platform. MAS is a third-generation deck designed to bridge the gap between DFM rules and models. It uses the unique Calibre equation-based DRC (eqDRC) capability to score the manufacturability of a layout using an easy-to-understand metric. Using MAS, companies can provide DFM guidelines with a go/no go cut line to their designers and IP providers, helping to reduce manufacturability surprises at final tape out.

"The integration of Olympus, Calibre and GLOBALFOUNDRIES technology into a seamless flow, including optimization for advanced DFM effects, helps ensure signoff-ready results without the iterations and rework often required to achieve manufacturing closure," said Joseph Sawicki, vice president and general manager of Mentor's Design-to-Silicon Division. “The Mentor reference flow helps mutual customers fully leverage 28nm process capabilities, yet still maintain or reduce design cycle times."

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.