Monday, June 6, 2011

Extreme DA and ATopTech extend co-operation for timing sign-off technology to POCV analysis

DAC 2011, SANTA CLARA, USA: Extreme DA, the leader in new-generation timing sign-off software and ATopTech Inc., the leader in next-generation physical design solutions, announced an extension to their cooperation for timing sign-off technology.

Extreme DA is licensing the parametric method found in its GoldTime sign-off timer to ATopTech for the analysis of on-chip variations (OCV) found in leading-edge digital integrated circuit (IC) designs. With improved analysis, design teams can design ICs with higher performance and improved robustness while reducing development efforts.

At advanced process nodes, statistical methods are required to analyze global and local variations, which affect IC performance. Previously OCV derating values were applied to all paths in a design regardless of path depth, which caused timing analysis to be optimistic for short paths and conservative for long paths.

Current attempts to model the impact of path depth using advanced on chip variation (AOCV) have serious limitations and cause 100s of pico-seconds of wasted slack in 28nm designs. The parametric OCV (POCV) technology in Extreme DA GoldTime can calculate the correct slack variation on any path by using the variation information of a single cell stage and has been qualified for sign-off in the latest TSMC Reference flow 12.0. Through this cooperation, ATopTech’s Aprisa physical design tool will more quickly create ICs with higher performance that are better correlated to sign-off timing.

Jue-Hsien Chern, CEO of ATopTech said: “The Aprisa physical design solution is the leader in fast design closure taking into account complex timing issues associated with OCV, SI and MCMM analysis. The POCV timing analysis technology from Extreme DA gives us deeper insight into those paths that could affect circuit timing because of variations in manufactured silicon. We are glad to extend our cooperation, so our joint customers will enjoy a robust sign-off solution at the latest semiconductor nodes.”

Mustafa Celik, CEO of Extreme DA, said: “OCV effects are increasing with each new node. Our collaboration delivers faster and more accurate design closure because POCV analysis from Extreme DA eliminates the overhead and pessimism of other methods, such as AOCV. POCV analysis meets the performance challenge of verifying complex nanometer designs and delivers golden timing sign-off at 28nm and below.”

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.