Monday, January 31, 2011

Synopsys Galaxy Implementation platform addresses gigascale design

MOUNTAIN VIEW, USA: Synopsys Inc. has announced the 2010.12 release of its Galaxy Implementation Platform, delivering new technologies to address the scalability, convergence and throughput needs of "Gigascale" design.

Faster runtime performance with multicore processing and innovations to increase design capacity throughout the Galaxy Platform enable engineering teams to gain productivity benefits for large-scale, complex integrated circuit (IC) design. Additionally, the Galaxy Platform includes comprehensive foundry-validated 28-nanometer (nm) silicon process node support for all routing and design rule checking (DRC) rules, extraction and lithography requirements.

The Galaxy 2010.12 release is available now.

Increasing demand for consumer electronics, like smartphones, media tablets and Internet-connected HDTVs, is driving semiconductor companies to rapidly implement massively integrated, multimillion-instance Gigascale IC designs. Thanks to the convergence of logic synthesis, physical implementation and signoff into an integrated platform, Synopsys' Galaxy Platform delivers the scalability and throughput that are essential to implement the largest ICs, designed for the most advanced process technologies.

Key components of the Galaxy Platform include:
Design Compiler Graphical with IC Compiler: Provides faster RTL-to-physical convergence from initial design exploration through concurrent multi-corner/multi-mode (MCMM) optimization, and closure for timing, power, testability and area;

IC Compiler's Zroute technology: Offers concurrent design for manufacturability (DFM) routing for advanced process technologies. Coupled with In-Design physical verification via IC Validator enables the fastest multicore, lithography-aware routing and delivers full compliance with complex DRC rules required for advanced silicon nodes; and

PrimeTime HyperScale technology: Speeds block-level timing closure in the context of the top-level design, dramatically accelerating signoff of complex, hierarchical designs.

Among core technology enhancements, the Galaxy 2010.12 release delivers significant runtime and capacity improvements, including:

RTL Synthesis: Reduction of total negative timing slack in DC Ultra averaging 25 percent, resulting in increased design closure predictability.

Physical Implementation: Extended on-demand loading (ODL) technology in IC Compiler for two to three times (2-3X) faster top-level physical design closure.
Seven times (7X) faster In-Design automatic DRC repair

Signoff: Twenty percent runtime and memory improvements in PrimeTime.

New capabilities in PrimeTime to support SPICE-accurate clock mesh analysis, an essential technology required for designs with embedded processor cores.

Enhancements to PrimeTime HyperScale technology delivering runtime efficiencies for designs with multiply-instantiated blocks.

One-and-a-half times (1.5X) faster parasitic extraction with StarRC.

RTL-to-GDSII: New buffer tree creation and aggressive area recovery techniques result in an average 10 percent reduction in buffer and inverter cells, providing power, routability and area improvements.

"The next generation of Gigascale SoC devices requires a high throughput implementation solution with multimillion-instance capacity and rapid convergence for design closure," said Antun Domic, senior vice president and general manager, Synopsys Implementation Group. "The 2010.12 release of our Galaxy Platform provides designers with a comprehensive solution to address their advanced needs, including support for the most advanced 28-nanometer process technologies."

The Galaxy Implementation Platform 2010.12 is available immediately. Synopsys' Lynx Design System, the most comprehensive and automated environment for implementing chips, includes a production-proven RTL-to-GDSII design flow that now fully supports the 2010.12 release of Galaxy tools as well as pre-validated foundry-ready system technology plug-ins for popular process technologies.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.