Thursday, February 4, 2010

Dow Electronic Materials joins SEMATECH’s resist materials and development center at UAlbany NanoCollege

ALBANY, USA: SEMATECH, a global consortium of chipmakers, and Dow Electronic Materials announced that Dow has joined SEMATECH’s Resist Materials and Development Center (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

To advance the development of next-generation patterning technologies, Dow Electronic Materials will collaborate with SEMATECH researchers at CNSE’s Albany NanoTech Complex to develop and demonstrate extreme ultra-violet lithography (EUVL) materials and resists for use at the 22 nm node and beyond.

“We are excited to partner with the most advanced technologists in the effort to develop and commercialize EUVL technology for the manufacturing of future nanoelectronics devices,” said George Barclay, microelectronics R&D director of Semiconductor Technologies at Dow Electronic Materials. “This new partnership combines Dow’s experience in photoresist materials, developers, and bottom anti reflective coatings, with SEMATECH’s strengths in the development of fundamental resist processes that are critical for EUV infrastructure.”

“This collaborative effort reinforces SEMATECH’s commitment to develop cutting-edge resist and materials and accelerate EUV process introduction into pilot line manufacturing,” said John Warlaumont, vice president of advanced technologies at SEMATECH. “We are pleased to welcome Dow as a member, and appreciate their participation in SEMATECH’s ongoing effort to build broader and deeper collaborations for advanced materials development.”

“The addition of Dow Electronic Materials will accelerate the leading-edge research in EUVL technology at CNSE’s Albany NanoTech Complex,” said Richard Brilla, CNSE vice president for strategy, alliances and consortia. “This collaboration further enhances the world-class capabilities and roster of leading global companies at the UAlbany NanoCollege, and underscores the success of the SEMATECH-CNSE partnership in enabling advanced technology solutions that are critical to industry.”

At the RMDC, leading resist and materials suppliers participate in focused, cooperative R&D with SEMATECH member companies. Together, the RMDC provides the hardware and research expertise required by materials suppliers and member companies to develop EUV resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications needed for EUV insertion at member companies.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.