Thursday, February 25, 2010

TEL joins SEMATECH’s lithography program at UAlbany NanoCollege

ALBANY, USA & TOKYO, JAPAN: Tokyo Electron Ltd (TEL) and SEMATECH announced that TEL has joined SEMATECH’s Lithography Program at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

The TEL team will work alongside SEMATECH engineers at CNSE’s Albany NanoTech Complex to advance extreme ultraviolet lithography (EUVL) and related infrastructure – including mask defect reduction, mask metrology, source, resist processing, etch, and overall manufacturability and extensibility of the technology.

As the industry prepares to start EUVL pilot line operations within a year, the remaining challenges in EUV resist processing must be addressed, including linewidth roughness (LWR) reduction, LWR uniformity across wafers, partitioning LWR reduction between resist processing and etch, as well as pattern collapse. TEL and SEMATECH are uniquely positioned to cooperate in those areas and to lead the industry in enabling pilot line-ready resist processing.

“We are excited by this opportunity to join TEL’s engineering expertise with SEMATECH’s R&D capabilities and know-how to develop leading-edge EUV lithography capability for our semiconductor customers,” said Masayuki Tomoyasu, Senior Vice President of TEL Technology Center, America, LLC. “We look forward to working with SEMATECH to meet the technical and economic requirements of EUV technology and move the industry forward.”

“We are building on our longstanding relationship with TEL, which is also participating in our Front End Processes and 3D Interconnect programs,” said John Warlaumont, vice president of advanced technology at SEMATECH. “We’re pleased to join forces in our lithography program as well, as we work together with TEL to advance EUVL technology and accelerate its progress to high-volume manufacturing.”

“We are delighted to welcome this new alliance between SEMATECH and TEL, both of which are among the global technology leaders engaged in next-generation nanoelectronics research and development at the UAlbany NanoCollege,” said Richard Brilla, vice president for strategy, alliances and consortia at CNSE. “This new collaboration will enable advanced research for EUV lithography to support the critical needs of industry, while further demonstrating the success of the SEMATECH-CNSE partnership in accelerating leading-edge technologies.”

Through global leadership and collaboration, SEMATECH’s Lithography Program aims to drive consensus-based solutions and infrastructure development to ensure that capable, cost-effective lithography is available when needed to member companies and the semiconductor industry.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.